ADMETAPlus2023

Advanced Metallization Conference 2023
32nd Asian Session

講演プログラム

October 12, 2023 (THU)    会場受付開始 9:30

Session 1: Opening Session
[Opening, Award Ceremony]

Chairperson: Takayasu Saito (Osaka Prefecture University)
[Plenary Talks]

Chairperson: Mayumi B. Takeyama (Kitami Institute of Technology)
                      Noriaki Matsunaga (Applied Materials Japan, Inc.)
10:00-10:10 Opening Session:
Munehiro Tada (NanoBridge Semiconductor, Inc.)
10:10-10:20 Award Ceremony:
Hideaki Machida (Gas-Phase Growth LTD.)
10:20-11:00 1-1 Plenary Talk 1:
Interconnect Past, Present and Future
Krishna Saraswat
(Stanford University)
11:00-11:40 1-2 Plenary Talk 2:
Interconnect for the next 10 years: trends, inflection points and future options
Zsolt Tokei
(imec)
11:40-12:20 1-3 Plenary Talk 3:
Chiplet and Advanced Packaging Technologies for HPC and AI
Dale McHerron
(IBM)
12:20-13:40 Lunch
Session 2: Advanced Integration
Chairperson: Hiroto Ohtake (Hitachi High-Tech Corporation)
                      Shoko S. Ono (Mitsui Chemicals, Inc.)
13:40-14:05 2-1 Invited Talk 1:
Fully Subtractive Ru Topvia as Post-Cu Alternative Metal Interconnects
Koichi Motoyama
(IBM Research)
14:05-14:30 2-2 Invited Talk 2:
Advanced Logic Process Technologies toward 2nm and Beyond
Tomonari Yamamoto
(Tokyo Electron Ltd.)
14:30-14:50  2-3 Superconducting Nb Interconnects for Cryo-CMOS and Superconducting Digital Logic Applications
* Hideaki Numata1, N. Iguchi1, M. Tanaka2, K. Okamoto1, S. Miura1, K. Uchida3, H. Ishikuro4, T. Sakamoto1, and M. Tada1
(1 NanoBridge Semiconductor, Inc., 2 Nagoya University, 3 The University of Tokyo, 4 Keio University)
14:50-15:05 Break
Session 3: 3D
Chairperson: Takafumi Fukushima (Tokoku University)
                      Toyohiro Aoki (IBM Research-Tokyo)
15:05-15:30 3-1 Invited Talk 3: Interconnections in Advanced Semiconductor Packaging
Katsuaki Suganuma
(Osaka University)
15:30-15:55 3-2 Invited Talk 4:
Advances In Dielectric Based Wafer to Wafer Bonding
* Lan Peng1, S. Iacovo1, F. Inoue2, J. De Vos1, B. Schoenaers3, A. Stesmans3, V. V. Afanas’ev2, A. Miller1, G. Beyer1, and E. Beyne1
(1 imec, 2 Yokohama National University, 3 University of Leuven)
15:55-16:15 3-3 Development of Large-Scale Connections of Wafer-Level Face-to-Back Structure with Cu-Cu Hybrid Bonding
* Yukako Ikegami, Masaki Haneda, Kengo Kotoo, Kan Shimizu, Yoshihisa Kagawa, and Hayato Iwamoto
(Sony Semiconductor Solutions Corporation)
16:15-16:35 3-4 Cu-SiO2 Surface Activation by Ozone-Ethylene-Radical Process for Chip-to-Chip and Chip-to-Wafer Hybrid Bonding
* M. Murugesan1, K. Mori2, B. Tanaka1, H. Hashimoto2, T. Nishiguchi3, T. Shino3, and T. Fukushima1
(1 Tohoku University, 2 T-MICRO, 3 Meiden Nanoprocess Innovations, INC.)
16:35-16:55 3-5 Releasable Direct Bonding for Fine Pitch Die to Wafer Hybrid Bonding
* Koki Onishi1, Tomoya Iwata1, Akira Uedono2, and Fumihiro Inoue1
(1 Yokohama National University, 2 University of Tsukuba)
16:55-17:10 Break
Session 4: Contact & Metallization
Chairperson: Osamu Nakatsuka (Nagoya University)
                      Takashi Matsumoto(Tokyo Electron Technology Solutions Ltd.)
17:10-17:35 4-1 Invited Talk 5:
Contact Resistance in Vertically Stacked Nanosheet FETs for Sub-3-nm Technology Node
Hyun-Yong Yu
(Korea University)
17:35-17:55 4-2 Investigation of oxidation impact on Cu diffusivity in ultra-thin PVD-Co(W) films
Yubin Deng
(The Univ. of Tokyo)
17:55-18:15 4-3 Organosilane Pretreatment for Roughness Improvement of Electroless NiB Films
* Naoki Yamada, Takeyasu Saito, and Naoki Okamoto
(Osaka Metropolitan University) 
Poster Session
Chairperson: Shunichi Hizume (Lam Research GK)
                      Atsuhiro Tsukune (The University of Tokyo)
                      Takeshi Momose (Kumamoto University)
                      Tadashi Fukuda (Tokyo Institute of Technology)
18:20-20:00 P-1 CMP characteristics of IGZO thin film with a variety of process parameters
* Wang Wei, Zeng Ming, Ping Yan Lei, Tian Chao, Sun Hong Bo, Cui Kai, Wang Gui Lei, and Zhao Chao
(Beijing Superstring Academy of Memory Technology)
P-2 A study of the effect of wet cleaning processes on tungsten post etch with different techniques
* Chaoyang Guan, Chaoyang Guan, Jingheng Meng, Dong Luo, Jian Liu, Jiao Jin, Baodong Han, Zhao Liu, Hongbo Sun, Yanlei Ping, Ming Zeng, Guilei Wang, and Chao Zhao
(Beijing Superstring Academy of Memory Technology)
P-3 Graphene Deposition with High Power Pulsed Sputtering (HPPS) Plasma
* Yuto Ooishi1, Atsuya Kuwada1, Fumihiko Maeda2, Masanori Shinohara3, Satoshi Tanaka4, and Takashi Matsumoto4
(1 Fukuoka University, 2 Fukuoka Institute of Technology, 3 Fukuoka University, 4 Tokyo Electron Technology Solutions Co. Ltd.)
P-4 Direct Deposition of Graphene on Si with High Power Pulsed Sputtering (HPPS) Plasma
* Yuto Ooishi1, Atsuya Kuwada1, Fumihiko Maeda2, Masanori Shinohara3, Satoshi Tanaka4, and Takashi Matsumoto4
(1 Fukuoka University, Fukuoka Institute of Technology, 3 Fukuoka University, 4 Tokyo Electron Technology Solutions Co. Ltd.)
P-5 Buried bitline fabrication in 4F2 DRAM cell Technology
Zhao Liu
(Beijing Superstring Academy of Memory Technology)
P-6 Extracting BL Equivalent Thickness Through the Calculation of Coupling Capacitance
* Jianpeng Jiang, Yong Yu, Zhixuan Li, Lansong Ba, Bryan Kang, Guilei Wang, and Chao Zhao
(Beijing Superstring Academy of Memory Technology)
P-7 Investigation of Process Variation Effects in Interconnect Capacitance with Double Patterning Technology
* Honggang Liang, Yong Yu, Jingfei Zhu, Yanan Lu, Bryan Kang, Guilei Wang, and Chao Zhao
(Beijing Superstring Academy of Memory Technology)
P-8 Stabilization and switching properties of the easy-cone magnetic free layer in magnetic tunnel junctions
Qi Hu, Nan Yang, Yunsen Zhang, Huihui Li, Haochang Lv, Gengfei Li, Guilei Wang, Chao Zhao, Yong Yu, and * Bowen Dong
(Beijing Superstring Academy of Memory Technology)
P-9 Low sheet resistance buried metal bit line realized by high temperature metal CVD process in vertical channel transistor arrays
* Chao Tian1, Yanlei Ping1, Naizheng Wang1, Baodong Han1, Zhao Liu1, Yongjie Li1, Jingheng Meng1, Hongbo Sun1, Guilei Wang1 , Deyuan Xiao2, Jian Chu2, Guangsu Shao2, Jie Shen2, and Chao Zhao1
(1 Beijing Superstring Academy of Memory Technology, 2 ChangXin Memory Technologies, Inc.)
P-10 Effects of defect clustering on the shape of TDDB lifetime distribution and screening effectiveness
* Koichi Endo and Shinji Yokogawa
(Info-Powered Energy System Research Center, The Univ. of Electro-Communications)
P-11 A Transient Liquid Phase Bonding Using Sn/Cu Multiple layers
* Dong-Yurl Yu1,2, Junhyuk Son1, Yun-Chan Kim1,2, Shin-il Kim1,2, Dongjin Byun2 and JungHwan Bang1
(1 Korea Institute of Industrial Technology (KITECH), 2 Korea University
P-12 Efficient SiC polishing method using ion implantation process
* Sho Takitani, Hideaki Nishizawa, Akiyoshi Baba, and Keisuke Suzuki
(Kyushu Institute of Technology
P-13 Current induced field-free magnetic switching in synthetic antiferromagnets by ion implantation
* Meiyin Yang, Bowen Shen, and Jun Luo
(Institute of Microelectronics of the Chinese Academy of Sciences (IMECAS))
P-14 VCMA control of skyrmion generation and annihilation in SAF
* Shuaiyu Gong1,2, Meiyin Yang1, and Jun Luo1
(1 Institute of Microelectronics of the Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences)
P-15 SOT-MRAM bit-cell architecture Based on Unipolar Current Inputs
* Bowen Yang1,2, Lei Zhao1,2, * Meiyin Yang1,2, Tengzhi Yang1,2, Jianfeng Gao1, and Jun Luo1,2
(1 Institute of Microelectronics of the Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences (UCAS))
P-16 Characterization of ZrOx film deposited at low-temperature process
* Mayumi B. Takeyama and Masaru Sato
(Kitami Institute of Technology)
P-17 Preparation of SiOx film at low-temperature process for 3D-LSI
* Masaru Sato and Mayumi B. Takeyama
(Kitami Institute of Technology)
P-18 Selective chemical vapor deposition of Cu using CuI on fine-structure
* Gento Toyoda, Satoshi Yamauchi
(Quantum Beam Science, Graduate School of Ibaraki University)
P-19 Threading Dislocations control in SiGe/Si heterojunctions for hole spin qubits
* Zhenzhen Kong 1,2, Zonghu Li 3, Gang Cao 3, Yiwen Zhang 1,2,Tianchun Ye 1,2, Chao Zhao 4, and Guilei Wang 4,5
(Institute of Microelectronics of the Chinese Academy of Sciences)
P-20 In Situ observation of initial stage of Cobalt ALD using reflected light measurement
* Shunsuke Kimura, Jun Yamaguchi, Noboru Sato, Atsuhiro Tsukune, Takeshi Momose, and Yukihiro Shimogaki
(The University of Tokyo)
P-21 Development of Molybdenum Atomic Layer Deposition Process for Next Generation ULSI Interconnect
* Haonan Liu,Yuxuan Wu, Jun Yamaguchi, Noboru Sato, Atsuhiro Tsukune, Takeshi Momose, and Yukihiro Shimogaki
(The University of Tokyo)
P-22 Development of low-resistivity copper thin film formation process on polymer using supercritical fluid deposition
* Yusuke Nakajima, Yukihiro Shimogaki, and Takeshi Momose
(The University of Tokyo)
P-23 Study of estimating vapor pressure of metal complex with COSMO-SAC method and its improvement
* Noboru Sato, Jun Yamaguchi, Wu Yuxuan, Momoko Deura, Takeshi Momose, and Yukihiro Shimogaki
(The University of Tokyo)
P-24 Study of Cu precursors Adsorption Behavior on Cu (111) Surface by Density Functional Theory
* Yuxuan Wu, Jun Yamaguchi, Noboru Sato, Haonan Liu, Atsuhiro Tsukune, Takeshi Momose, and Yukihiro Shimogaki
(The University of Tokyo)
P-25 Examination of Co-ALD process using CCTBA precursor * Jun Yamaguchi, Noboru
Sato, Atsuhiro Tsukune, Takeshi Momose, and Yukihiro Shimogaki

(The University of Tokyo)
P-26 Interfacial reaction and microstructure formation of Sn-based solder joint using selective induction bonding
* Min-Su Kim, So-Jeong Lee, and Yong-Ho Ko
(Korea Institute of Industrial Technology)
  P-27 [Late News]  Non-resetting Spin Logics by Field-free Magnetic Switching
* Yanru Li, Meiyin Yang, and Jun Luo
(Institute of Microelectronics, Chinese Academy of Sciences (IMECAS))
  P-28 [Late News]  The fabrication of STT-MRAM memory cell with ultra-low switching power
* Chengchang Yang1 , Sinan Zou1, Jianfeng Gao1, Meiyin Yang1, Jing Xu1,2, Yan Cui1, and Jun Luo1,2
(1 Institute of Microelectronics, Chinese Academy of Sciences, 2 University of Chinese of Academy Sciences (UCAS))
  P-29 [Late News]  Adhesion Improvement of Multilayer Graphene Formed by Solid Phase Deposition on SiO2 with Ti Adhesion Layer
* Takumi Nishimura1, Motonobu Sato2, and Kazuyoshi Ueno1,2
(1 Shibaura Institute of Technology, 2 SIT)

October 13, 2023 (FRI)   会場受付開始 9:30

Session 5: ALD & ALE
Chairperson: Kan Takeshita (Mitsubishi Chemical Corporation)
                      Kazunari Kurita (SUMCO Corporation)
Opening
10:00-10:25 5-1 Invited Talk 6:
Plasma Etching Technology Next Milestone of Assurance Energy and Environment
Kenji Ishikawa
(Nagoya University)
10:25-10:50 5-2 Invited Talk 7:
Development of Precursors for Area Selective Deposition
* Kohei Iwanaga1, Teppei Hayakawa1, Yuki Yamamoto1, Hiroyuki Oike1, Ryosuke Ebihara1, and Ken-ichi Tada2
(1 TOSOH Corporation, 2 Sagami Chemical Research Institute)
10:50-11:10 5-3 Surface-Independent AlN ALD on Cu and SiO2 Surfaces using Hydrazine for an Etch Stop Layer Application
* Hayato Murata, Yoshifumi Wada, and Hideharu Shimizu
(Taiyo Nippon Sanso)
11:10-11:25 Break
Session 6: 3D & BEOL device1
Chairperson: Shinji Yokogawa (The University of Electro-communications)
                      Seiji Muranaka (Renesas Electronics Corporation)
11:25-11:50 6-1 Invited Talk 8:
Boosting Chip Connectivity through Advanced Packaging and BEOL Integration
Huaqiang Wu
(Tsinghua University)
11:50-12:10 6-2 Demonstration of Enhanced Remnant Polarization (20μC/cm2) in Low Thermal Budget (300oC) ferroelectric Hf0.5Zr0.5O2
* Peng. Yuan, Xueli Ma, Jibin Leng, Qingjie Luan, Yongqing Shen, Zhengying Jiao, Liguo Chai, Jinjuan Xiang, Guilei Wang*, Chao Zhao
(Beijing Superstring Academy of Memory Technology)
12:10-12:30 6-3 A Novel Design Methodology for Highly Reliable Operation for 2T0C DRAM Application Based on IGZO CAA Ferroelectric FETs
* Jing Liang, Peng Yuan, Jin Dai, Jinjuan Xiang, Menglong Zhou, Zhixuan Li, Yong Yu, Guilei Wang1, Jing Zhang, Bryan Kang, Chao Zhao
(Beijing Superstring Academy of Memory Technology)
12:30-13:50 Lunch Break
Session 7: Metallization & CMP
Chairperson: Takeshi Nogami (IBM Corporation)
                      Keisuke Suzuki (Kyushu Institute of Technology)
13:50-14:15 7-1 Invited Talk 9:
Materials to Systems Co-opitimization (MSCOTM) Platform & its Application towards Next Generation Advanced Interconnect Development for Logic Nodes
* Ashish Pal, Zhebo Chen, Gaurav Thareja, Buvna Ayyagari, Xianmin Tang, and El Mehdi Bazizi
(Applied Materials Inc.)
14:15-14:40 7-2 Invited Talk 10:
CMP Process Technology for 3D Flash Memory
Yumiko Kataoka
(KIOXIA Corporation)
14:40-15:00 7-3 Novel Pattern and Improved CVD Process for MLG / Ni Hybrid Patch Antenna with High Crystallinity and Uniformity
* Kouta Masukawa1 and Kazuyoshi Ueno1, 2
(1 Shibaura Institute of Technology, 2 International Research Center of Green Electronics
15:00-15:20 7-4 Study on nano carbon fine particles based on C60 molecule for diamond CMP
* Keisuke Suzuki, Hiroaki Iura, Junpei Takeiri, Masaki Morii, Daisuke Hantani, Panart Khajornrungruang, and Hideaki Nishizawa
(Kyushu Institute of Technology)
15:20-15:35 Break
Session 8: Contact & BEOL Devices2
Chairperson: Akihiro Kajita (KIOXIA Corporation)
                      Eiichiro Sudo (Tokyo Electron Ltd.)
15:35-15:55 8-1 Non-resetting Spin Logics by Field-free Magnetic Switching
Yanru Li
(Institute of Microelectronics of the Chinese Academy of Sciences)
15:55-16:15 8-2 Co Silicide Formed by Chemical Vapor Deposited Cobalt and Carbon Pre-Implantation
* Yanping He1,3, Jianfeng Gao1, Jing Xu1, Weibin Liu1, Jinbiao Liu1, Junfeng Li1, Yongjie Li2, Chao Tian2,Jun Zhou2, Yuke Li2, Hongbo Sun2, Shujuan Mao2, Jun Luo1,3, Guilei Wang2, and Chao Zhao2
(Institute of Microelectronics, Chinese Academy of Sciences, 2 Beijing Superstring Academy of Memory Technology, 3 University of Chinese Academy of Sciences (UCAS))
Closing remark
16:15-16:25 Closing

All sessions will be conducted in English.

 

ページトップへ矢印