ADMETAPlus2023

Advanced Metallization Conference 2023
32nd Asian Session

Plenary Speakers (on-site lecture)

PL-1: Interconnect Past, Present and Future

Prof. Krishna Saraswat
Stanford University

Prof. Saraswat received his B.E. degree in Electronics in 1968 from the Birla Institute of Technology and Science, Pilani, India, and his M.S. and Ph.D. degrees in Electrical Engineering in 1969 and 1974 respectively from Stanford University, Stanford, CA. Professor Saraswat stayed at Stanford as a researcher and was appointed Professor of Electrical Engineering in 1983. He also has an honorary appointment of an Adjunct Professor at the Birla Institute of Technology and Science, Pilani, India since January 2004 and a Visiting Professor during the summer of 2007 at IIT Bombay, India. During 2000-2007 he was Associate Director of the NSF/SRC Center for Environmentally Benign Semiconductor Manufacturing. He has been a technical advisor, board member and consultant to several industrial organizations in USA, Asia and Europe. He has also advised several academic and government organizations world wide. Prof. Saraswat has supervised more than 90 doctoral students, 40 research scholars and has authored or co-authored 15 patents and over 800 technical papers, of which 10 have received Best Paper Award. He is a Life Fellow of the IEEE. He received the Thomas Callinan Award from The Electrochemical Society in 2000 for his contributions to the dielectric science and technology, the 2004 IEEE Andrew Grove Award for seminal contributions to silicon process technology, Inventor Recognition Award from MARCO/FCRP in 2007, the Technovisionary Award from the India Semiconductor Association in 2007, BITS Pilani Distinguished Alumnus Awards in 2012, the Semiconductor Industry Association (SIA) Researcher of the Year Award in 2012, and the Semiconductor Research Corporatin (SRC) Aristotle Award in 2021. He is listed by ISI as one of the Highly Cited Authors in his field.

 

PL-2: Interconnect for the next 10 years: trends, inflection points and future options

Dr. Zsolt Tokei
imec

Zsolt Tokei is imec fellow, program director nano-interconnects at imec. He joined imec in 1999 and since then held various technical positions in the organization. First as a process engineer and researcher in the field of copper low-k interconnects, then he headed the metal section. Later he became principal scientist, program director nano-interconnects and imec fellow. He earned a M.S. (1994) in physics from the University Kossuth in Debrecen, Hungary. In the framework of a co-directed thesis between the Hungarian University Kossuth and the French University Aix Marseille-III, he obtained his PhD (1997) in physics and materials science. In 1998 he started working at the Max-Planck Institute of Düsseldorf, Germany, as a post-doctorate researcher. After joining imec, he continued working on a range of interconnect issues including scaling, metallization, electrical characterization, module integration, reliability and system aspects.

 

 

PL-3: Chiplet and Advanced Packaging Technologies for HPC and AI

Dr. Dale McHerron
IBM

Dale is currently Senior Manager and Senior Technical Staff Member at IBM Research based in Albany, NY with responsibility for IBM’s Heterogeneous Integration Research Program and project leader in the IBM AI Hardware Research Center.  Over his 30 + year career at IBM, Dale has held various technical, managerial, and business development positions in both advanced packaging and CMOS logic R&D.  In 2007, he transitioned to the IBM Albany Research lab where he has initiated and led research projects in logic scaling, heterogeneous integration, and has played a key role developing the IBM collaborative research ecosystem in Albany.  Dale received his PhD in Chemical Engineering from Virginia Tech with a focus on polymeric materials.  Dale has co authored numerous research papers and holds multiple patents in the field of microelectronics.

 

Invited Speakers (on-site lecture)

Fully Subtractive Ru Topvia as Post-Cu Alternative Metal Interconnects
Dr. Koichi Motoyama (IBM Research)

Advanced Logic Process Technologies toward 2nm and Beyond
Dr. Tomonari Yamamoto (Tokyo Electron Ltd.)

Materials to Systems Co-opitimization (MSCOTM) Platform & its Application towards Next Generation Advanced Interconnect Development for Logic Nodes
Dr. Ashish Pal (Applied Materials Inc.)

CMP Process Technology for 3D Flash Memory
Dr. Yumiko Kataoka (KIOXIA Corporation)

Advances In Dielectric Based Wafer to Wafer Bonding
Dr. Lan Peng (IMEC)

Development of Precursors for Area Selective Deposition
Dr. Kouhei Iwanaga (Tosoh Corporation)

Contact Resistance in Vertically Stacked Nanosheet FETs for Sub-3-nm Technology Node
Prof. Hyun-Yong Yu (Korea University)

Boosting Chip Connectivity through Advanced Packaging and BEOL Integration
Prof. Huaqiang Wu (Tsinghua University)

Plasma Etching Technology Next Milestone of Assurance Energy and Environment
Prof. Kenji Ishikawa (Nagoya University)

Interconnections in Advanced Semiconductor Packaging
Prof. Katsuaki Suganuma (Osaka University)

ページトップへ矢印