Go to English page
 Information
 ADMETAPlus 2017について
 Important Dates
 交通と宿泊
 Topics
 論文投稿要項
 JJAP特集号
 招待講演者
 Tutorial
 Conference Program
 Oral Presentation
 Poster Presentation
 参加登録
 Committee
 Contact
 Top Page
 
Advanced Metallization Conference 2017
27th Asian Session
 Conference Program


October 19, 2017
Session 1: Opening Session
Chairperson: H. Machida (Gas-Phase Growth)
9:30-9:50 Opening Remarks: O. Nakatsuka, General Chair [Nagoya University]
Award Ceremony :
9:50-10:30
(1-1)
Plenary: A Glimpse of the Frontier of AI Research [IBM Research Tokyo] T. Onodera
10:30-11:10
(1-2)
Plenary: Conductor trends for future interconnects [imec] Z. Tokei
11:10-11:20 (Break 10 min)
Session 2: 3D/TSV/PKG
Chairperson: Y. Mizushima (Fujitsu Laboratory)
 
11:20-11:50
(2-1)
Invited: Challenges with join & interface integrity in next generation microelectronic packages [EMD Performance Materials] V. B. Dutta
11:50-12:20
(2-2)
Invited: The Critical Role and Application of Metallization Processes in Advanced Packaging [Dow Chemical] R. Beica
12:20-12:40
(2-3)
Leakage Current Conduction Mechanism in 3D Capacitor Embedded in Through-Silicon Via (TSV) [Nanyang Technological University] ○Y. Lin, and C. S. Tan
12:40-13:40 (Break for Lunch)
Session 3 : Dielectric and Integration
Chairpersons: K. Maekawa (Renesas Semiconductor Manufacturing)
 
13:40-14:10
(3-1)
Invited: Dielectric Atomic Layer Etching in high volume semiconductor manufacturing: Why now and how? [Lam Research] G. Delgadino
14:10-14:40
(3-2)
Invited: Selective atomic-level etching using two heating procedures, infrared irradiation, and ion bombardment, for next-generation semiconductor device manufacturing [Hitachi] N. Miyoshi
14:40-15:00
(3-3)
Effect of concentration of terminal methyl groups on properties of OSG low - k films [1 North China University of Technology, 2 Moscow Technological University (MIREA)] C. Liu 1, Q. Qi 1, D. Seregin 2, Y. Wang 1, S. Wei 1, J. Zhang 1, K. Vorotilov 2, and M. R. Baklanov 1
15:00-15:20
(3-4)
Air Gap process development with mask approach using Charge-Based Capacitance Measurement (CBCM) for capacitance characterization [1 Fudan University, 2 Shanghai IC R&D Center] ○Z.-J. Hu 1,2, X.-P. Qu 1*, H. Lin 2, M. Li 2, S.-M. Chen 2, and Y.-H. Zhao 2
15:20-15:30 (Break 10 min)
Session4 : Device & contact
Chairpersons: X. Gu (SanDisk)
 
15:30-16:00
(4-1)
Invited: Advanced Silicide/Germanide technology for sub-16/14 nm node devices [Chinese Academy of Sciences] J. Luo
16:00-16:20
(4-2)
Co/Si contact properties with an amorphous interlayer of CoTix [Tohoku University] ○M. Hosseini, Y. Sutou, and J. Koike
16:20-16:40
(4-3)
TiN/Ti Ohmic Contact for Sputtered-MoS2 Film using Forming-Gas Annealing [Tokyo Institute of Technology] ○M. Toyama, T. Ohashi, K. Matsuura, J. Shimizu, I. Muneta, K. Kakushima, K. Tsutsui, and H. Wakabayashi
16:40-17:00
(4-4)
Enhancing the thermal stability of NiGe by prior-germanidation fluorine implantation into Ge substrate [1 Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences (UCAS)] N. Duan 1,2 , G. Wang 1, X. Luo 1,2 , S. Mao 1,2 , J. Xu 1, S. Liu 1, J. Li 1, W. Wang 1,2 , D. Chen 1,2 , C. Zhao 1,2 , T. Ye 1,2, and J. Luo 1,2
 17:00-17:10  (Break 10 min)
Special Session : Late News
Chairpersons: S. Yokogawa
(The University of Electro-Communications)
17:10-17:25
(LN-1)
Kinetics of Solid-state Reactive Diffusion in the Co/(Sn-Cu) System at 473 K [Tokyo Institute of Technology] ○F. Nagano, M. O, and M. Kajihara
 17:25-17:40
(LN-2)
Investigation of Thermal Stress for 6-micron Diameter TSV by Polarized Raman Spectroscopy Measurement and Finite Element Simulation [National Institute of Advanced Industrial Science and Technology (AIST)] ○W. Feng, N. Watanabe, H. Shimamoto, M. Aoyagi, and K. Kikuchi
 17:40-17:55
(LN-3)
Pulsed laser deposited Sn-doped In2O3 bottom electrode on Al2O3 (0001) for (Pb,La)(Zr,Ti)O3 and (K,Na)NbO3 capacitor [1Osaka Prefecture University, 2 Osaka University] ○T.Saito 1, Y. Takada 1, R. Tamano 1, A. Kobayashi 1, N. Okamoto 1, T. Yoshimura 1, N. Fujimura 1, K. Higuchi 2, and A. Kitajima 2
Poster Session (18:10-20:00)
Chairpersons: S. Yokogawa
(The University of Electro-Communications)
 
P-1 Thermomechanical Property of Sb-added Solder for Automotive Power Module [1 Korea Institute of Industrial Technology, 2 Andong National University] J. Son 1,2, D.-Y. Yu 1, M. Kim 1, Y.-B. Park 2, and J. Bang 1*
P-2 Joint Reliability of Sn-0.7Cu-0.2Cr for Automotive Electronics Modules [1 Korea Institute of Industrial Technology, 2 Andong National University] D.-Y. Yu 1, M. Kim 1, J.-H. Son 1,2, Y.-H. Ko 1, and J. Bang 1*
P-3 Sulfide Semiconductor Materials prepared by High-speed Electrodeposition and Discussion of Photoelectrochemical Reaction [Osaka Prefecture University] ○N. Okamoto, H. Yukawa, H. Tamura, and T. Saito
P-4 Relation between TiN films with different texture and its barrier properties [Kitami Institute of Technology] M. Sato, and M. B. Takeyama
P-5 Preparation of Cu/MWCNT Composite Film by an Electroplating Method [Shinshu University] ○Y. Hiraide, M. Shimizu, and S. Arai
P-6 Effect of Surfactant on Deposition Morphology of Cu/SWCNT in Acid-Based Electroplating Baths [Shinshu University] ○T. Ogasawara, M. Shimizu, and S. Arai
P-7 Electrochemical Performance of Ti Oxide Film Formed by Anodic Oxidation [1 Shibaura Institute of Technology, 2 Japan Power Engineering and Inspection, 3Nakabohtec Corrosion Protecting] ○K. Ooniwa 1, R. Suzuki 1,2, Y. Yagi 1,3, and K. Noda 1
P-8 Simulation of thermal hydrostatic stress gradient as a driving force for copper reflow process in LSI interconnects [Tohoku University] ○M. Saadatmand, and J. Koike
P-9 Evaluation of the diffusion barrier properties of electroless-plated barrier films formed on silicon substrate [Kansai University] ○T. Iseri , Y. Miyachi, S. Shindo, J. Inada, T. Shimizu, T. Ito, and S. Shingubara
P-10 Crystalline and electrical properties of epitaxial HfGe2/Ge contact for lowering Schottky barrier height [1 Graduate School of Engineering, Nagoya University, 2 Institute of Materials and Systems for Sustainability, Nagoya University, 3 Stanford University] O. Nakatsuka 1,2, A. Suzuki 1, J. McVittie 3, Y. Nishi 3, and S. Zaima 2
P-12 Impact of Ge pre-amorphization implantation on forming TiGex for the application of Ti contacting with Ge [1 Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences (UCAS)] ○X. Luo 1,2, G. Wang 1, N. Duan 1,2, S. Mao 1,2, J. Xu 1, S. Liu 1, J. Li 1, W. Wang 1,2, D. Chen 1,2, C. Zhao 1,2, T. Ye 1,2, and J. Luo 1,2
P-13 Mechanism of corrosion protection of Zinc rich paint coated steel [1 Shibaura Institute of Technology, 2 Nakabohtec Corrosion Protecting] ○R. Takasuka 1, R. Horikawa 1, M. Shinozaki 1, Y. Yagi 1,2, and K. Noda 1
P-14 Effect of Corrosion Resistance by Conversion Treatment on Galvanized Steels [1 Shibaura Institute of Technology, 2 Japan Power Engineering And Insoection, 3 Nakabothec Corrosion Protecting, 4 Hoden Seimitu Kako Kenkyusyo] ○K.Yamaguchi 1, S. Tomida 1, R. Suzuki 1,2 , Y. Yagi 1,3 , K. Noda 1, and Y. Kan 1,4
P-15 Localized Corrosion Behavior of Stainless Steels Under Loading Stress Environment [1 Shibaura Institute of Technology, 2 Power generation, 3 Nakabohtec Corrosion Protecting] ○K. Yurina 1, T. Izuhara 1, R. Suzuki 2, Y. Yagi 3, and K. Noda 1
P-16 Plan view stress distribution at 1 μm underneath of DRAM device using WOW ultra-thinning technology [1 Fujitsu Laboratories, 2 Tokyo Institute of Technology] ○Y. Mizushima 1,2, Y. Kim 2, S. Kodama 2, T. Nakamura 2, and T. Ohba 2
P-17 Novel Pt etching using hexafluoroacetlyacetone [University of Yamanashi] E. Kondoh, and Y. Ogihara
P-18 Structural and mechanical properties of porous organosilicate films with bridging and terminal hydrocarbon groups. [1 North China University of Technology, 2 Moscow Technological University (MIREA), 3 National Chiao Tung University] Y. Wang 1, C. Liu 1, D. Seregin 2, A.Vishnevskiy 2, ○J. Zhang 1, S. Wei 1 , N. Kotova 2, K.Vorotilov 2, J. Leu 3, and M. R. Baklanov 1
P-19 Statistical evaluation of lifetime distribution with defect clustering by using two-step probability plot and multi-link test scheme [The University of Electro-Communications] ○K. Tate, and S. Yokogawa
P-20 Application of fault tree analysis for interconnect reliability assessment [The University of Electro-Communications] ○S. Yokogawa, and K. Kunii
Late News Poster
 P-LN1 Thermal behavior analysis of interconnect of Si semiconductor device with optical probed thermo-reflectance image mapping (OPTIM) and waveform (OPTW) [1 Toshiba Electronic Devices & Storage Corporation, 2 Osaka University, 3 Hamamatsu Photonics] ○K. Endo 1, Y. Midoh 2, T. Nakamura 3, T. Matsumoto 3, K. Koshikawa 3, and K. Nakamae2
 P-LN2 Fabrication of carbon nanomaterials using supercritical fluids for large-scale integration interconnects [Tokyo University of Science] S. Saito, K. Nishikawa, M. Itoh, K. Onose, S. Maeda, Y. Matsumae, Y. Uhara, and K. Otake
 P-LN3 Proposal of Individual Sub 100 nm Nano-Particle 3D-Tracking Method in Multi Wavelength Evanescent Fields [Kyushu Institute of Technology] ○P. Khajornrungruang, H. Shirakawa, K. Suzuki, and T. Ryo
October 20, 2017 
Session 5: Planarization/CMP
Chairperson: K. Suzuki (Kyushu Institute of Technology)
 
9:00-9:30
(5-1)
Special talk: Cherishing Old Knowledge, Acquiring New - Past, Present and Future of CMP Technology - [Ebara] M. Tsujimura
9:30-10:00
(5-2)
Invited: Tungsten CMP as Enabling Process for 14nm Transistor Scaling and Yield Enhancement [Globalfoundries] H. J. Kim
10:00-10:20
(5-3)
Study on effects of mixed ultra-fine colloidal silica particle in slurry for sapphire CMP [Kyushu Institute of Technology] ○N. B.-Athuek, Y. Yoshimoto, Y. H. Tsai, K. Sakai, P. Khajornrungruang, and K. Suzuki
10:20-10:40
(5-4)
Early stages of layer formation on Cu in benzotriazole-hydrogen (BTA-H2O2) solutions [1 University of Yamanashi, 2 Ebara] ○K. Segawa 1, E. Kondoh 1, S. Hamada 2, S. Shima 2, and H. Hiyama 2
10:40-11:00
(5-5)
Investigation of Co surface reaction by in-situ measurement for Chemical Mechanical Planarization (CMP) and post-CMP cleaning [Mitsubishi Chemical] ○K. Harada, T. Shibata, T. Kusano, and Y. Kawase
11:00-11:10 (Break 10 min)
Session 6: Emerging Technologies
Chairperson: A. Kajita (Toshiba)
 
11:10-11:40
(6-1)
Invited: Chalcogenide superlattices for the next generation non-volatile memory [AIST] J. Tominaga
11:40-12:00
(6-2)
The Origin and Suppression of Critical Deep-Pit in the HEMT Structure Using GaN on Si Technology with Strained Layer Super Lattice [NuFlare Technology] ○K. Miyano, M. Tsukui, H. Nago, Y. Iyechika, T. Kobayashi, Y. Ishikawa, H. Takahashi, S. Mitani, and T. Yoda
12:00-12:20
(6-3)
Catalyst-free Growth of Graphene on 300 mm Dielectric Substrate by Microwave Plasma Enhanced Chemical Vapor Deposition at Low Temperatures [1 Tokyo Electron, 2 Toshiba] ○R. Ifuku 1, T. Matsumoto 1, T. Sakai 2, and A. Kajita 2
12:20-13:30 (Break for Lunch)
Session 7: Advanced metallization
Chairperson: M. B. Takeyama
(Kitami Institute of Technology)
 
13:30-14:00
(7-1)
Invited: Through-cobalt self-forming barrier copper interconnect and alternative conductor interconnects of cobalt and ruthenium for 7nm BEOL and beyond [IBM Research] T. Nogami
14:00-14:30
(7-2)
Invited: A prospect of metallization technology for future interconnects [Toshiba] A. Isobayashi
14:30-14:50
(7-3)
Electrical Resistivity of Ultrafine Cu lines Formed by Dynamic Reflow Process [Tohoku University] ○K. Sato, D. Ando, Y. Sutou, and J. Koike
14:50-15:10
(7-4)
Effect of Surface Treatment in Printed Ag Schottky Contacts on n-GaN Epitaxial Layers by Using Ag Nanoink [1 University of Fukui, 2 Osaka University, 3 Osaka Research Institute of Industrial Science and Technology] ○K. Shiojima 1, T. Shigemune 2, A. Koizumi 2, T. Kojima 3, Y. Kashiwagi 3, M. Saitoh 3, T. Hasegawa 3, M. Chigane 3, and Y. Fujiwara 2
15:10-15:20 (Break 10 min)
Session 8:Reliability
Chairperson: S. Yokogawa
(The University of Electro-Communications)
 
15:20-15:50
(8-1)
Invited: Incorporate Graphene into Back End-of-Line for Better Cu Interconnects [Stanford University] L. Li
15:50-16:20
(8-2)
Invited: Robust nanoscale Cu interconnects coated by atomic-layer materials [NIMS] N. T. Cuong
16:20-16:40
(8-3)
Moisture Barrier Properties of Single-layer and Double-layer Graphene on Cu Film [1 Shibaura Institute of Technology, 2 Kyushu University, 3 SIT Research Center for Green Innovation] ○P. Gomasang 1, K, Kawahara 2, H. Ago 2, and K. Ueno 1,3
16:40-17:00
(8-4)
The key factors study of Cu Ultra low-k reliability variation control for advanced technology nodes [Semiconductor Manufacturing International] ○T. Dou, X. Song, Y. Hu, D. Bei, and F. Li
17:00-17:10 Closing Remarks:

 
 

(C) ADMETA Plus 2017 all rights reserved.