go to Japanese page
 Information
 About ADMETAPlus 2019
 Important Dates
 Travel
 Topics
 Call for Papers
 Special issu of JJAP
 Invited Speaker
 Tutorial
 Conference Program
 Oral Presentation
 Poster Presentation
 Registration
 Committee
 Contact
 Top Page
 
Advanced Metallization Conference 2019
29th Asian Session
 Conference Program

October 10, 2019
Session 1: Opening Session
Chairperson: H. Machida (Gas-Phase Growth)
9:30-9:50 Opening Remarks: S. Yokogawa, General Chair [The Univ. of Electro-Communications]
Award Ceremony :
9:50-10:30
(1-1)
Plenary: The Future of Technology Scaling [Intel] R. A. Brain
10:30-10:40 (Break 10 min)
Session 2: Advanced Integration and Processes
Chairperson: J. Leu (National Chiao Tung Univ.)
10:40-11:10
(2-1)
Invited: Challenges Influencing the Next Generation BEOL Interconnect Technology [IBM Research] G. Bonilla
11:10-11:30
(2-2)
Saturation of Sb1+ Concentration in Heavily Sb-doped n+-Ge Epitaxial Layers [Nagoya Univ.] J. Jeon , S. Shibayama, and O. Nakatsuka
11:30-11:50
(2-3)
Impact of Ge Pre-amorphization Implantation (Ge PAI) on CoTi/n+-Si Contact in Co Interconnects [1Chinese Academy of Sciences, 2Univ. of Chinese Academy of Sciences (UCAS)] D. Zhang1,2, A. Du1, J. Xu1*, S. Mao1, X. Luo1,2, Y. Liu1,2, J. Gao1, G. Wang1, J. Li1, W. Wang1,2, D. Chen1,2, C. Zhao1,2, T. Ye1,2 and J. Luo1,2*
11:50-12:50 (Break for Lunch)
Session 3: Emerging Technology (STT-MRAM, ReRAM)
Chairperson: X. Gu (Western Digital)
12:50-13:20
(3-1)
Invited: Impact of STT-MRAM and CMOS/MTJ Hybrid NV-Logic from NV-MCU to NV- Brain-Inspired AI Processors [Tohoku Univ.] T. Endo
13:20-13:50
(3-2)
Invited: Etch Challenges and Solutions in MRAM Production [Leuven Instruments] K. Xu
13:50-14:10
(3-3)
Low-energy Switching of a FM/HM/FM Sandwich Structure Driven by Spin-Orbit Torque [Chinese Academy of Sciences]S. Wang , M. Yang, J. Luo, C. Zhao, W. Wang, and T. Ye
14:10-14:20 (Break 10 min)
Session 4: Advanced Metallization
Chairperson: M. Baklanov (North China Univ.)
14:20-14:50
(4-1)
Invited: Integration options for alternative metals [imec] C. J. Wilson, V. V. Gonzalez, G. Murdoch, C. Aldelmann, M. van der Veen, A. Gupta, I. Ciofi, and Z. Tokei
14:50-15:20
(4-2)
Invited: Development of a CMOS-compatible Contact Technology for III-V Materials [CEA Leti] P. Rodriguez
15:20-15:40
(4-3)
Improvement of the Thermal Stability for Ultra-thin Tantalum Silicide by Carbon Pre-silicidation Implantation [1Institute of Microelectronics of Chinese Academy of Sciences, 2Univ. of Chinese Academy of Sciences] J. Xu1, J. Gao1, X. Luo1,2, D. Zhang1,2, S. Mao1, J. Li1, C. Zhao1,2,*, W. Wang1,2, B. Gao1, D. Chen1,2, T. Ye1,2 and J. Luo1,2
15:40-15:50 (Break 10 min)
Session 5: CMP, Cleaning
Chairperson: K. Suzuki (Kyushu Institute of Technology)
15:50-16:20
(5-1)
Invited: Determining Instantaneous Removal Rates in Metal Chemical Mechanical Planarization [The Univ. of Arizona/Araca Inc.] A. Philipossian
16:20-16:40
(5-2)
Development of Chemical Mechanical Planarization(CMP) for 4H-SiC substrate by water-soluble cluster of Fullerene [1Kyushu Institute of Technology, 2National Taiwan Univ. of Science and Technology] Y.-H. Tsai1, C.-C. A.Chen2, K. Suzuki1, and S.-F. Chiu2
16:40-17:00
(5-3)
Study on Chemical factors on Nano Colloidal Silica fine particles using Critic acid for Cu-CMP [Kyushu Inst. of Technology] S. Sirisawat, F. Hisayoshi, P. Khajornrungruang, and K. Suzuki
17:00-17:20
(5-4)
Effect of Conditioner Disc Wear on Frictional, Thermal, Kinetic and Pad Micro-Textural Attributes of Silicon Dioxide and Tungsten Chemical Mechanical Planarization [1Univ. of Arizona, 2Araca Inc.] J. C. Mariscal1, H. Dadashazar1,2, J. McAllister1, Y. Sampurno1,2, and A. Philipossian1,2
Poster Session (17:30-19:30)
Chairperson: K. Maekawa (Renesas Electronics)
P-1 Synthesis of Ni Thin Film by Supercritical Fluid Chemical Deposition Technique [Univ. of Yamanashi] Sudiyarmanto, and E. Kondoh
P-2 Low Temperature ALD of Silicon Nitride Using Hydrazine-based Compound [1TAIYO NIPPON SANSO, 2Semiconductor National Institute for Materials Science] H. Murata1, N. Tajima2, and K. Suzuki1
P-3 Development of novel Cu electroplating for electronic interconnects in advanced packaging [1Kobe Univ., 2Daicel]T. Mahiko1,2, and M. Nagata1
P-4 Advanced Physical Modelling Method to the LSI Package Deformation with the HOG Image Feature [1Fujitsu Laboratories, 2Socionext] N. Itani1, T. Soeda1, M. Oshima2, and H. Matsuyama2
P-5 Plasma-enhanced Atomic Layer Deposition of Low-k Silicon Carbonitride Films [National Chiao Tung Univ.] S.-W. Fan, Y.-L. Hsu, and J. Leu
P-6 Chip-level Electromigration Evaluation using GENG estimations [The Univ. of Electro Communications] S. Yokogawa, K. Kunii, and R. Nakazato
P-7 Enhancement of thermal stability of NiGe films below 10 nm thickness by carbon pre-germanidation implantation [1Chinese Academy of Sciences (IMECAS), 2Univ. of Chinese Academy of Sciences] J. Xu1, J. Liu1, G. Wang1, X. Luo1,2, Dan Zhang1,2, S. Mao1, J. Li1, C. Zhao1,2,*, W. Wang1,2, B. Gao1, D. Chen1,2, T. Ye1,2, and J. Luo1,2
P-8 An optimized method for critical cleaning of sidewall residues in the fabrication of 8-inch CMOS Compatible STT-MRAM [1Institute of Microelectronics, Chinese Academy of Sciences (IMECAS), 2Univ. of Chinese of Academy Sciences (UCAS), 3Beihang Univ., 4Jiangsu Leuven Instruments] T. Yang1,2, M. Yang1, Y. Cui1, K. Cao3, Z. Guo3, J. Gao1, X. He1, J. Xu1, J. Li1, W. Wang1,2, D. Che4, K. Xu4, C .Zhao1,2*, W. Zhao3, and J. Luo1,2*
P-9 Cu(111) orientation control on thin TaWN alloy barrier [1Kitami Institute of Technology, 2Toray Research Center] M. B. Takeyama1, M. Sato1, and M. Yasuda2
P-10 Thermal stress relaxation of cobalt-passivated nano-twinned copper films [National Chiao Tung Univ.] H.-H. Liu, I-H. Tseng, C. Chen, and J. Leu
P-11 Exchange coupling between perpendicular Co and IrMn interface in Pt/Co/IrMn trilayers [1Institute of Microelectronics, Chinese Academy of Sciences (IMECAS), 2Univ. of Chinese of Academy Sciences (UCAS)] Y. Li1, M. Yang1*, T. Yang1,2, Y. Cui1, J. Xu1, C. Zhao1,2, W. Wang1,2, and J. luo1,2*
P-12 Preparation of Graphene/Carbon Nanotubes Composite Films for Thermal Packaging Applications [1Shanghai Univ., 2Chalmers Univ. of Technology ] J. Xie1 G. Yuan1 , H. Li1 , J. Liu 1 ,2 , and Y. Tian1
P-13 Withdrawn
P-14 Effect of P/B Ion Implantation after Germanidation on NiGe Films Formed on both n+- and p+-Ge [1Institute of Microelectronics, Chinese Academy of Sciences, 2Univ. of Chinese Academy of Sciences (UCAS)] X. Luo1,2, G. Wang1, J. Xu1, D. Zhang1,2, Y. Liu1,2, S. Mao1, S. Liu1, J. Li1, W. Wang1,2, D. Chen1,2, C. Zhao1,2, T. Ye1,2, and J. Luo1,2
P-15 Effects of Ammonia-based Functional Water on Copper Surface Preparation for Wafer Rinsing Step [1Organo, 2 Nagaoka Univ. of Technology] D. Yano1,2, and A. Kawai2
P-16 Ultra low-k organosilica films with benzene bridge and small pore size [1North China Univ. of Technology, 2Technische Universit?t Chemnitz, 3China Agricultural Univ.] C. Liu1, C. Lv1, N. Kohler2, X. Wang1, H. Lin3, Z. He3, S. Wei1#, J. Zhang1, and M. R. Baklanov1
P-17 Cu (111) preferential orientation on ZrNx films [Kitami Institute of Technology] M. Sato, and M. B. Takeyama
P-18 Metal/insulator thermally conductive layers for miniaturized planar Si-nanowire thermoelectric generator [1Waseda Univ., 2National Institute for Materials Science] S. Ma1, T. Zhan1, R. Yamato1, M. Xu1, H. Takezawa1, K. Mesaki1, M. Tomita1, Y.-J. Wu 2, Y. Xu 2, and T. Watanabe1
P-19 The effect of γ-ray irradiation on the SOT magnetic films and devices [1Chinese Academy of Sciences, 2Univ. of Chinese of Academy Sciences (UCAS)] T. Z. Yang1, W. L. Yang1(Equal contribution), C. H. Wan1, X. F. Han1*, Y. Cui1*and J. Luo1,2*
P-20 Plasma- enhanced chemical vapor deposition of vertically aligned carbon nanotube arrays grown on Zinc oxide films [1Shanghai Univ., 2Chalmers Univ. of Technology] H. Li1 G. Yuan1 , J. Xie1 , J. Liu 1,2 and Y. Tian1
P-21 Initial changes of Cu surfaces in H2O2-BTA aqueous solutions studied by using microfluidic reactor [1Univ. Yamanashi, 2Ebara] E. Kondoh 1, M. Toyama1, L. Jin1, S. Hamada2, S. Shima2, and H. Hiayama2
P-22 Pore Morphology of Ultra-Low-k Organosilicate Dielectrics Thin Films by UV Annealing [1National Chiao Tung Univ., 2 National Synchrotron Radiation Research Center, 3Russian Technological Univ. (MIREA)] Y.-H. Wu1, W.-T. Chuang2, A. S. Vishnevskiy3, D. S. Seregin3, M. R. Baklanov3, K. A. Vorotilov3, and J. Leu1*
P-23 Temperature evolution of sol-gel PMO low-k films with different organic bridges [1MIREA Russian Technological Univ (RTU MIREA), 2 North China Univ of Technology (NCUT) ] D. Seregin1 , A. Vishnevskiy1 , G. Orlov1, V. Storonkin1, I. Ovchinnikov1, K. Vorotilov1, and M. Baklanov 1,2
P-24 Humidity Reliability of a Commercial Flash Memory for Long Term Storage [1Shibaura Institute of Tech.(SIT), 2Univ. of Electro Communication, 3SIT Research Center for Green Innovation] T. Murota1, T. Mimura1, P. Gomasang1, S. Yokogawa2, and K. Ueno1,3
P-25 Etching of OSG low-k films in CF4 plasma at different temperatures [Lomonosov Moscow State Univ.] A. Palov , E. Voronina , T. Rakhimova, O. Proshina, and Y. Mankelevich
P-26 Fabrication and electrical properties of Ni-based alloy thin film by electro or electroless deposition [1Osaka Prefecture Univ., 2Osaka Univ.] M. Rindo1, N. Okamoto1, T. Saito1, and A. Kitajima2
P-27 Sputtering and etching of ethylene bridged low-k film s by neutral fluorine [1Lomonosov Moscow State Univ., 2North China Univ. of Technology] A. Palov1, E. Voronina1, and S. Wei2
P-28 Effect of a metal interlayer under Au catalyst on metal-assist chemical etching of Si substrate [Kansai Univ.] T. Yorioka, S. Hanatani, T. Shimizu, T. Ito, and S. Shingubara
Late News Poster
P-LN1 Interfacial Reaction of Cu6Sn5 intermetallic between molten Sn-0.7Cu-0.2Cr solder and Cu substrate [1Korea Institute of Industrial Technology, 2Korea Univ.] J. Son1,2, D.-Y. Yu1,2, D.-J. Byun2, J. Bang1*
P-LN2 Effect of Ni3Sn2 Growth on Thermal Resistance of AuSn/ENIG Solder Joint in Flip-Chip LED Package [1Korea Institute of Industrial Technology (KITECH), 2 Hanyang Univ.] T.-Y. Lee1,2, M.-S. Kang1, Y.-H. Kim2, S. Yoo1, and M.-S. Kim1
P-LN3 Nitrogen-Doped Amorphous-Carbon as Efficient Moisture Barrier on Copper [1Shibaura Institute of Technology, 2 SIT Research Center for Green Innovation] P. Gomasang1 , T. Murota1 , and K. Ueno1,2
P-LN4 Boron diffusion and Crystal structure analysis of MTJ films with different TMR ratio according to annealing temperature [Toray Research Center] Y. Shimizu, M. Yasuda, and M. Nishimura
October 11, 2019
Session 1: Opening Session 2
Chairperson: H. Machida (Gas-Phase Growth)
9:30-10:10
(1-2)
Plenary: Advanced Flash Memory Technology for the Big Data Era [Western Digital Japan] A. Koike
10:10-10:20 (Break 10 min)
Session 6: Thin films and dielectrics
Chairperson: O. Nakatsuka (Nagoya Univ.)
10:20-10:50
(6-1)
Invited: Advanced Cu interconnects with Ru liner for low resistance and highly reliable 7nm BEOL technology and beyond [IBM Research] K. Motoyama
10:50-11:10
(6-2)
Formation of chemically inert interface between Al and Al3Nb thin films [Kitami Institute of Technology] M. B. Takeyama, M. Sato, and A. Noya
11:10-11:30
(6-3)
Light emission from pristine and Tb doped nanoporous organosilicate films [North China Univ. of Technology] J. Zhang*, Y. Wang, J. Zhang, H. Xu, C. Liu, S. Wei, and M. R. Baklanov
11:30-11:50
(6-4)
Investigation of Molybdenum interconnects [Fudan Univ.] T. Teng , and X.-P. Qu
11:50-12:50 (Break for Lunch)
Session 7: 3D, TSV, PKG
Chairperson: T. Saito (Osaka Prefecture Univ.)
12:50-13:20
(7-1)
Invited: Small pitch Micro-LED processing for Augmented Reality Application [1Industrial Technology Research Institute (ITRI), 2National Chiao Tung Univ.] K.-L. Liang1, W.-H. Kuo1, Y.-H. Fang1, and C.-C. Lin1,2
13:20-13:50
(7-2)
Invited: Low Temperature Cu-Cu Direct Bonding for 3D Integration and Advanced Packaging [National Chiao Tung Univ.] Y.-C. Tsai (on behalf of K.-N. Chen)
13:50-14:10
(7-3)
Measured Stress Comparison of Annular-Trench-Isolated (ATI) TSV with Cu and Solder Core [National Institute of Advanced Industrial Science and Technology (AIST)] W. Feng, N. Watanabe, H. Shimamoto, M. Aoyagi, and K. Kikuchi
14:10-14:30
(7-4)
Study of Cu pad thermal expansion effect on fine-pitch Cu-Cu hybrid bonding technology [Sony Semiconductor Solutions] H. Hashiguchi, M. Haneda, Y. Kagawa, M. Horiike, T. Hirano, S. Kobayashi, T. Hirano, and H. Iwamoto
14:30-14:40 (Break 10 min)
Session 8: Next Generation Interconnect
Chairperson: A. Kajita (Toshiba Memory)
14:40-15:10
(8-1)
Invited: Non-equilibrium nanoparticle composite film process using reactive plasmas [Kyushu Univ.] K. Koga
15:10-15:30
(8-2)
Layer Number Dependence of MoCl5 Intercalation to Few-Layer Graphene [1Shibaura Institute of Technology, 2KU Leuven, 3imec] E. Ketsombun1, X. Wu 2,3 , I. Asselberghs3 , S. Achra 2,3 , C. Huyghebaert3 , D. Lin3 , Z. Tokei3 , and K. Ueno1
15:30-15:50
(8-3)
Vertically Stacked Suspended SiGe/Ge Nanowires Fabricated by 3D Ge Condensation for Optoelectronic Applications [Stanford Univ.] J. Suh*, Q. Li, J. van de Groep, M. Brongersma, and K. C. Saraswat
15:50-16:00 Closing Remarks:

 
 

(C) ADMETA Plus 2019 all rights reserved.