Information
 About ADMETAPlus 2018
 Important Dates
 Travel
 Topics
 Call for Papers
 Special issue of JJAP
 Invited Speaker
 Tutorial
 Conference Program
 Oral Presentation
 Poster Presentation
 Registration
 Committee
 Contact
 Top Page
 
Advanced Metallization Conference 2018
28th Asian Session

 Conference Program

Oct.10 Oct.11 Oct.12
AM Conference Room
No.311
Conference Room
No.201
Conference Room
No.201
Conference Room
No.203A
Tutorial (1) Session 1:
Opening Session
Session 2:
Low-k Dielectric
Session 5:
Contact
Session 6:
Dry Etching and Wet Cleaning
Parallel Session
3D and Packaging(1)&(2)
Lunch Lunch Lunch Lunch
PM Conference Room
No.311
Conference Room
No.201
Conference Room
No.201
Tutorial(2) Session 3:
Advanced Metallization
Session 4:
Planarization/CMP
Session 7:
Barrier
Session 8: Integration
Session 9: Reliability
Dinner Banquet
(18:00-19:30)
Panel Discussion
(17:10-18:20)
Closing
Poster Session
(18:30-20:00)

October 11th, 2018
Session 1: Opening Session
Session Chair: C.Zhao( Chinese Academy of Sciences)
8:30-8:45 Opening Remarks: T.C. Ye, General Chair [Chinese Academy of Sciences]
Award Ceremony
8:45-9:30
(1-1)
Plenary:
[Intel Corp.(USA)]
R. A. Brain
9:30-10:15
(1-2)
Plenary: Gateway to success in China
[Semiconductor Manufacturing International Corp.] M. Zhou
10:15-10:45
(1-3)
(Special Talk) Overview of AMC/IITC 2018
[Lam Research (USA)]
L. Zhao
10:45-11:00 Break time
Session 2: Low-k Dielectric
Session Chair: M.R.Baklanov ( North China University of Technology)
11:00-11:30
(2-1)
(Invited) BEOL Low-k Dielectrics for 7nm and below
[Applied Materials (USA)]
K. S. Yim, S. Mukherjee, B. Xie, E. Braaton, M. Tong, J. Ma, D. Raj and D. Padhi
11:30-11:50
(2-2)
PECVD Low-k Silicon Carbonitride Films Using Silazane Precursors
[National Chiao Tung University] W-Y Chang and J. Leu
11:50-12:10
(2-3)
Effect of the Brij 30 Porogen and Annealing Ambient on the Properties of p-OSG Films with Carbon Bridged Skeleton
[
1 North China University of Technology, 2 Moscow Technological University (Russia), 3 Beijing Youchrom Technologies Co., Ltd., 4 National Chiao Tung University] Y. Wang1, D. S. Seregin2, C. Liu1, C. Lv3, J. Zhang1, S. Wei1, J. Leu4,K. A. Vorotilov2, and M. R. Baklanov1
12:10-13:30 Lunch time
Session 3: Advanced Metallization
Session Chair: E. Kondoh(University of Yamanashi)
13:30-14:00
(3-1)
(Invited) Carbon Enhanced BEOL Technology
[The Hong Kong University of Science and Technology]C. Prawoto, S. Li, S. Raju and
M. Chan
14:00-14:30
(3-2)
(Invited) Fabrication of (111) nanotwinned Cu and its applications in Cu direct bonding and Cu redistribution lines in 3D IC integration
[National Chiao Tung University]
C. Chen1, J.Y. Juang1, K. C. Shie1, Y.C. Chu1, and K. N. Tu
14:30-14:50
(3-3)
Effect of interfacial Ni between Graphene and Pt on reducing the specific Contact Resistivity
[
1 Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences (UCAS)] K. Shahzad1,2, K. Jia1, X. yu1,2, X. Luo1,2, D. Zhang1 ,J. Xu1, and J. Luo1,2
14:50-15:10
(3-4)
Precise Patterning of Graphene Nanopore Array with Helium Ion Microscope for Single Biomolecule Detection
[
1 Southern University of Science and Technology, 2 Chinese Academy of Sciences] Y. Deng 1, Y. Qiu 1, R. Gu1, D. He1, D. Wang2, and J. He1
15:10-15:25 Break time
Session 4: Planarization/CMP
Session Chair: X.P. Qu (Fudan University)
15:25-15:55
(4-1)
(Invited) CMP Slurry Innovation for Metallization at Different Dimensions and Device Stacking
[Anji Microelectronics]
Y. Wang
15:55-16:15
(4-2)
Detection of sub-100 nm particles attached to Si wafers and SiO2/Si wafers by using spectroscopic ellipsometry
[
1 University of Yamanashi, 2 Ebara Corporation] E. Kondoh1, K. Suzuki1, K. Shimada1, L. Jin1, S. Hamada2, S. Shima2, and H. Hiyama2
16:15-16:35
(4-3)
Chemical Mechanical Polishing of Inlaid Copper Structures with Ru/Ta/TaN Multilayer as Diffusional Barrier
[Tsinghua University] J. Cheng, B. Wang, L. Xie, C. Li and X. Lu
16:35-16:55
(4-4)
Study on Surface Topology of Polishing pad after Dressing Process using Optical Fourier Transform
[
1 Kyushu Institute of Technology, 2 Ebara Corporation] K. Suzuki 1, T. Ogata1 and P. Khajornrungruang1, H. Matsuo, T. Kimba,Y. Wada and H. Hiyama
16:55-17:10 Break time
Panel Discussion
17:10-18:20 Enabling technologies and challenges in interconnects for 5nm node and beyond
Poster Session (18:30-20:00)
P-1 Preparation and properties of low dielectric constant SiO2 films by co-precursors
[
1China Agricultural University, 2 North China University of Technology] H. Lin1, Z. He1, J. Zhang 2, S. Wang1, B. Mikhail 2, C. Liu 2
P-2 Effect of Super Critical Drying Temperature Variation on Silica Aerogel Low- K Films
[
1 North Maharashtra Univesrsity , 2 S P D M College (India)] S. A. Gupta1, A. S. Gaikwad2 and A. M. Mahajan1
P-3 Properties of dielectric barriers deposited by combination of PECVD and PEALD
[
1 North China University of Technology, 2 National Chiao Tung University] Y. Wang1, W.-Y. Chang2, Y.-L. Hsu2, J. Zhang1, J. Leu2 and M. R. Baklanov1
P-4 Characterization of carbon-bridged OSG films developed as potential candidates for subtractive integration
[
1 Russian Technological University - MIREA (Russia), 2 North China University of Technology, 3 Fraunhofer-Institut für Keramische Technologien und Systeme IKTS (Germany), 4 The Leibniz Institute of Surface Engineering (Germany), 5 National Chiao Tung University] D. S. Seregin1, N. M. Kotova1, A. S. Vishnevskiy1, Y. Wang2, A. Clausner3, M. Kopycinska-Muller3, S. Naumov4, J. Zhang2, Jim Leu5, K. A. Vorotilov1 and M. R. Baklanov2
P-5 Role of VUV emission in etching of OSG low-k film by CF3+
[ Lomonosov Moscow State Univ. (Russia)] A. Palov, E. Voronina, T. Rakhimova, O. Proshina and Y. Mankelevich
P-6 Metal Hardmask Layer Application & Evolution for Advanced Interconnection
[Applied Materials China] L. Luo , G. Wu , W. He, Z. Zhong, J. Kang, G. Zhao
P-7 PVD Systems for Advanced Packaging Applications
[Beijng NAURA Microelectronics Equipment Co.,Ltd.] J. Zhen, K. Wang, W. Xia, H. Wang, P. Ding
P-8 Schottky Barrier Height of Co/CoTi contact on n/p-Si
[Tohoku University (Japan)]
K. Sato, D. Ando, Y. Sutou, and J. Koike
P-9 Contact Resistivity of Co and Co/ CoTi0.25 on p-Si
[Tohoku University (Japan)] K. Kido, K. Sato, D. Ando, Y. Sutou, and J. Koike
P-10 Cu Barrier & Seed Application & Contact Resistance Improvement by TaN/Ta Integration [Applied Materials China] Q. Zhang, G. Wu, Q. Fan, J. Kang
P-11 Cu Barrier & Seed Application and Evolution for Advanced Copper Interconnection
[Applied Materials China.] G. Wu, L. Luo, W. He, L. Zhang, J. Kang, G. Zhao
P-12 Preferential orientation of Cu(111) with large grain sizes on thin TiHfN barrier
[Kitami Institute of Technology (Japan)] M. Sato and M. B. Takeyama
P-13 Cu nanowire arrays film with high electrical conductivity and enhanced heat transfer behaviors fabricated via a facial method
[
1 Beijing Information Science and Technology University, 2 Beihang University] L. Cao1, 2, Y. Deng2, and M. Miao1
P-14 Investigation of TiAlC by Atomic Layer Deposition as N Type Work Function Metal for FinFET
[ Institute of Microelectronics, Chinese Academy of Sciences] X. Wang, J. Xiang, C. Zhao, and W. Wang
P-15 Investigation of Metal TaAlC by Thermal Atomic Layer Deposition Using TaCl5 and TEA as Precursors
[Institute of Microelectronics, Chinese Academy of Sciences] X. Ma, and J. Xiang
P-16 Cu(111) preferential orientation on thin HfNX films
[Kitami Institute of Technology (Japan)] M. Sato and M. B. Takeyama
P-17 Influence of residual stress on the detection of Young’s Modulus of SiO2 thin film by the surface acoustic wave technique
[Tianjin University] H. Qin, X. Xiao, X. Sui, and H. Qi
P-18 The Impact of Electron Tunneling on NBTI Degradation in Ultra- thin pMOSFETs with Sub-1nm EOT
[
1University of Chinese Academy of Sciences, 2 Institute of Microelectronics, Chinese Academy of Sciences, 3 North China University of Technology, 4 IMEC (Belgium)] L. Zhou1,2, B. Tang2, H. Xu2, Q. Liu2, H. Yang2,1, J. Zhang3, E. Simoen4, H. Yin2,1, H. Zhu2, C. Zhao2, D. Chen2 and W. Wang2,1
P-19 The Influence of ALD TiN Thickness on Reliability Issues for nMOSFET with HfO2/TiN/TiAl gate stack
[
1 University of Chinese Academy of Sciences, 2 Institute of Microelectronics, Chinese Academy of Sciences, 3 National Space Science Center, the Chinese Academy of Sciences, 4 North China University of Technology, 5 IMEC (Belgium)] H. Yang1,2, L. Zhou2,1, B. Tang1, L. Qi3, W. Luo1, H. Xu1, Q. Liu1, Y. Wang4, J. Zhang4, E. Simoen5, H. Yin1,2, H. Zhu1, C. Zhao1,2, D. Chen1 and W. Wang1,2
P-20 Thermal stress relaxation in electroplated nano-twinned copper films
[National Chiao Tung University] Y.-T. Hsu, I-H. Tseng, C. Chen, and J. Leu
P-21 Fabrication of poly-Si/TaN/TiN/HfSiAlON gate stack for pMOSFET device
[Institute of Microelectronics, Chinese Academy of Science] Y. Li, Q. Xu, Z. Zhao, J. Li, and W. Wang
P-22 Clarification of oxygen vacancy at the Ge surface with GeOx passivation by ozone oxidation
[
1 Institute of Microelectronics, Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences] L. Zhou1,2, X. Wang1, J. Xiang1, C. Zhao1,2, T. Ye1,2, and W. Wang1,2
P-23 Fabricating the intragranular microstructure for Al2O3/GdAlO3 ceramic composite
[North China University of Technology] S. Shuai
P-24 Influence of ZnO Nanoparticles Addition on Interfacial Intermetallic Compounds Evolution in Sn-3.0Ag-0.5Cu Solder Joints
[North China University of Technology] M. Qu, T. Cao, Y. Cui, F. Liu, and Z. Jiao
P-25 Advanced Silicidation with Superior Thermal Budget and Defect Control
[Applied Materials China] Q. Zhou, J. Yang, H. Yang, C. Qin, C. Wang, G. Zhao
P-26 Luminescence properties of silicate glass on lutetium substrate
[
1 North China University of Technology, 2 University of Chinese Academy of Science, 3 State Key Laboratory of Particle Detection and Electronics, 4 Institute of High Energy Physics, Chinese Academy of Sciences, 5Harbin Institute of Technology] S. Yin1, P. Chen1, L. Ma1, S. Qian3.4, Z. Ning3.4, F. Gao2,3,4, Y. Zhu5,Z. Wang5 ,L. Wei4, X. Qin4
P-27 Preparation of Compressible 3D Graphene Structure by Emulsion Method and its Application in Pressure Sensors
[Shenzhen Institutes of Advanced Technology, University of Chinese Academy of Sciences] T. Wang , J. Li , F. Liu , B. Zhang , G. Zhang , and R. Sun
P-28 TCAD simulation of BN/graphene/BN heterostructure field effect transistor
[
1 Institute of Microelectronics of Chinese Academy of Sciences, 2 Anhui University, 3 University at Buffalo(USA)] Z. Wu 1,2, M. Zhao 1, J P Bird 3, J. Nathawat 3, G. Zhang 1, B. Sun 1, H. Chang 1, and H. Liu 1
P-29 Effect of ZnO Micron-Particles on Properties and Intermetallic Compound Layer of Sn3.0Ag0.5Cusolder
[North China University of Technology] T. Cao ,M. Qu*, Y. Cui, Z. Jiao, F. Liu
P-30 A Modified Low-Temperature Wafer Bonding Method using High-concentration Water Glass in Nitrogen Ambient
[
1 Institute of Microelectronics of Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences, 3 Kunshan Branch, Institute of Microelectronics of Chinese Academy of Sciences] Y. Xu1,2,3, S. Wang1,2 , Y. Wang1,2,3, and D. Chen1,2
P-31 Development of 3.6μm pitch Cu/SiO2 hybrid bonding for three dimensional integrated circuits
[
1 Fudan University, 2 Shanghai IC R&D Center ] Z.-J. Hu1,2, X.-P. Qu1, H. Lin2, R.-D. Huang2, X.-C. Ge2, M. Li2, S.-M. Chen2,Y.-H. Zhao2
P-32 Modification of perpendicular magnetic anisotropy of CoFeB/MgO interface by controlling the crystallization of W buffer layer
[
1 Beihang University, 2 University of California (USA), 3 Institute of Microelectronics, Chinese Academy of Sciences] L. Wang 1, S. Peng 1, K. Shi 1, X. Li 2, W. Zhao1, and C. Zhao 3
P-33 Effect of annealing on the stability of C60 field-effect transistor with LiF protective layer
[Shaoxing University] B. Yao, H. Xu, S. Liu, Z. Fang, Y. Tan, L. Zeng, and X. Yue
P-34 Influence on curvature induced stress to the infrared spectra and the reliability of 4H-SiC (0001) thermally grown SiO2 Gate Oxide
[
1 Institute of Microelectronics, Chinese Academy of Sciences, 2 Tokushima University Tokushima (Japan), 3 Global Energy Interconnection Research Institute Co.Ltd.] H. Xu 1, 2, C. Wan 1, S.i Wang1,J. Li 3 and J. Ao 2
P-35 Fabrication of robust (Pb,La)(Zr,Ti)O3 ferroelectric capacitor with Sn-doped In2O3 electrode
[
1 Osaka Prefecture University, 2 Osaka University, 3 Tohoku University (Japan)] A. Kobayashi 1,Y. Takada 1, N. Okamoto1, T. Saito1, T. Yoshimura 1, N. Fujimura 1, K. Higuchi 2, A. Kitajima 2,and R. Shishido3
P-36 The Effect of PCBM Layer on Performance of Perovskite Solar Cells Prepared by Vacuum-based and Solution-based Methods
[
1 Shaoxing University, 2 Shanghai University, 3 Shanghai University of Electric Power] H. Xu1, B. Yao1, S. Liu1, Y. Tan1, Z. Fang1, R. Xu2, Y. Zhu3
P-37 Fully Dense MgO Ceramics by Conventional and Vacuum Sintering
[
1 Grikin Advanced Materials Co. Ltd., 2 Beijing Engineering Research Center] M. Chen1, 2, J. He1, 2, Z. Ding1, 2 J. Luo1, 2, Hexin1, 2
P-38 A MEMS Actuator Driven By (Pb0.99Nb0.02)(Zr0.85Sn0.13Ti0.02)0.98O3 Antiferroelectric Thin Film
[North China University of Technology] T. Sheng, Q. Zhao, L. Pang, G. He, J. Di, M. Li
P-39 Comparison of Microjet-Print and Spin-Coating process for Graphene Oxide based Capacitive Humidity Sensor Fabrication
[
1 Fudan University, 2 Shanghai IC R&D Center] X. Kang1, R. Shen2,Q. Zuo2, X. Kang2, X. Zhong2, Q. Sun1, W. Zhang1
P-40 Design, Processing and Testing of MEMS Electrostatic Grooming Structure for Initiating Materials
[Beijing Institute of Technology] H. Feng, W. Lou, X. Ding, M. Liao
P-41 Design of Fast-Responding Programmable Frequency Divider
[
1 Tianjin University of Technology, 2 Southern Illinois University Carbondale (USA)] Z. Chen1, H. Liu 2, H. Liu1, C. Lu2
P-42 A Wide Tuning Range Push-Push LC-VCO in 55nm CMOS
[
1 Tianjin University of Technology, 2 Southern Illinois University Carbondale (USA)] Z. Wang 1, H. Liu1, C. Lu2
October 12th, 2018
Parallel Session : 3D and Packaging(1)
Session Chair: M.Darnon (CNRS / Université de Sherbrooke)
8:30-9:00
(PS1-1)
(Invited) Low Temperature Bonding Technology for Advanced Packaging and 3D Integration
[National Chiao Tung University]
K.-N. Chen
9:00-9:30
(PS1-2)
(Invited) Study on the AlOx film using pulsed magnetron sputtering
[Beijing NAURA Microelectronics Equipment Co.,Ltd] Q. Zhou, G. Bian,
H, Wang
9:30-9:50
(PS1-3)
A Novel 3D-integrated GNC Micro-system
[
1 Xidian University, China, 2 Beijing Institute of Aerospace Control Devices] G. shan 1, G. Wang 2Q. Lu 1, and Y. Yang 1
9:50-10:10
(PS1-4)
Preparation of Graphene/Aligned Carbon NanotubeArrays Composite Films for Thermal PackagingApplications
[
1 Shanghai University, 2 Chalmers University of Technology] B. Shan1, G. Yuan1, H. Li1, and J. Liu1,2
10:10-10:25 Break time
Parallel Session : 3D and Packaging(2)
Session Chair: O. Nakatsuka (Nagoya University)
10:25-10:55
(PS2-1)
(Invited) Integration challenges of AlGaN/GaN based devices in a CMOS Foundry
[TowerJazz Semiconductors, Israel]
R. S. Edelstein
10:55-11:15
(PS2-2)
Reduced Dielectric Loss of Li-Al-Si Photoetchable glass for 3D Package
[University of Electronic Science &Technology of China] T. Liang, J. Zhang, H. Chen, P. Zhang, H. Zhao
11:15-11:35
(PS2-3)
Thermal Stress Investigation of Annular-Trench-Isolated Through Silicon Via (TSV)
[National Institute of Advanced Industrial Science and Technology (AIST) (Japan)]
W. Feng, N. Watanabe, H. Shimamoto, M. Aoyagi, and K. Kikuchi
11:35-11:55
(PS2-4)
Common MEMs Micro-bridge Structure Fabricated by CMOS Al BEOL Compatible Process
[
1 Shanghai IC R&D Center, 2 Shanghai Huahong Grace Semiconductor Manufacturing Corporation] X. Kang1,*, X. Zhong1, R. Shen1, Q. Zuo1, M. Li1, S. Chen1, Y. Zhao1, X. Zhu2, L. Zhu2, S. Liu2, J. Wang2, H. Lu2, W. Wang2, B. Zhang
Session 5: Contact
Session Chair:J. Yan ( North China University of Technology)
8:30-9:00
(5-1)
(Invited) Topological insulators: myths and reality
[
1 Institute of Semiconductor Physics, 2 Novosibirsk State University (Russia)] Z. D. Kvon1,2
9:00-9:20
(5-2)
Exploration of the impact of interface states density on TiSix/n+-Si contact resistivity through high-low frequency method
[
1 Chinese Academy of Sciences, 2 University of Chinese Academy of Sciences (UCAS)] D. Zhang1,2, S. Mao1,2, X. Luo1,2, G. Wang1, J. Xu1, J. Li1, W. Wang1,2, D. Chen1,2, C. Zhao1,2, T. Ye1,2 and J. Luo1,2
9:20-9:40
(5-3)
Impact of Crystalline Property of SixGe1-x-ySny Ternary Alloy Interlayer on Schottky Barrier Height Engineering of Metal/Ge Contact
[
1 Nagoya University, 2 Research Fellow of Japan Society for the Promotion of Science (Japan)] O. Nakatsuka 1, A. Suzuki 1,2, M. Sakashita 1, and S. Zaima 1
9:40-10:00
(5-4)
Low Schottky Barrier Height using TiOx interlayer formed by sputtering and post-depostion anneal (PDA) between Ti and n-Ge
[Fudan University] X. Qin, X.-P. Qu*
10:00-10:25 Break time
Session 6: Dry Etching and Wet Cleaning
Session Chair: K.W.Kim (GLOBALFOUNDRIES)
10:25-10:55
(6-1)
(Invited) Interaction of humidity with plasma-damaged porous low-k
[CNRS / Université de Sherbrooke (Canada)]
M. Darnon
10:55-11:15
(6-2)
Trench etching of low-k film by neutral F beam
[Lomonosov Moscow State Univ. (Russia)] A. Palov, and E. Voronina
11:15-11:35
(6-3)
Development and Application of BEOL Polymer Residue Removal Technology
[
1 ACM Research (Shanghai), Inc., 2 Anji Microelectronics (Shanghai) Co.,Ltd.] T. Yao1, Y. Chen1, W. Wang1, X. Zhang1, F. Chen1, D. H. Wang1, D. Chen2, S. He2, R. Xu2, L. Peng2
11:35-11:55
(6-4)
Challenge and Solution for TiN Hard Mask Post Etch Residue Removal in Cu Damascene Process
[Anji Microelectronics (Shanghai) Co., Ltd.] B. Liu, B. Xiao, W. Zhang, and L. Peng
11:55-13:00 Lunch time
Session 7: Barrier
Session Chair: N. Takeguchi (SanDisk Limited)
13:00-13:30
(7-1)
(Invited) Co-Ti alloy for BEOL and MOL metallization for advanced technology node
[Tohoku University (Japan)]
J. Koike, M. Hosseini, K. Kido, K. Sato, L. Chen, D. Ando, and Y. Sutou
13:30-14:00
(7-2)
(Invited) Evaluation of film adhesion by using surface acoustic waves
[Tianjin University]
X. Xiao, H. Qi, X. Sui, T. Kong
14:00-14:20
(7-3)
Effect of the NH3 plasma pulse time on atomic layer deposited TiN films
[Fudan University] Z.-J. Ding, Y.-P. Wang, B. Zhu, W.-J. Liu, S.-J. Ding
14:20-14:40
(7-4)
NiAl as Barrier-less Interconnect for Ultra-small Technology Node
[Tohoku University (Japan)] L. Chen, D. Ando, Y. Sutou and
J. Koike
14:40-15:00 Break time
Session 8: Integration
Session Chair: J.Leu (National Chiao Tung University)
15:00-15:30
(8-1)
(Invited) BEOL Integration Challenges beyond 7nm
[GLOBALFOUNDRIES(USA)]
H. W. Kim
15:30-16:00
(8-2)
(Invited) Selective Deposition
[Applied Materials (USA)]
D. Thompson
16:00-16:20
(8-3)
Stress Engineering of ALD-W for High-Aspect-Ratio Trench Filling
[
1 SanDisk Limited (Japan), 2 Western Digital Corp. (USA), 3 Toshiba Memory Corp. (Japan)] N. Takeguchi1, G. Mizuno1, Y. Terasawa1, K. Yamaguchi1, R. Makala2, S. Omoto3, T. Beppu3, M. Kitamura3, and M. Takeuchi3
16:20-16:35 Break time
Session 9: Reliability
Session Chair: S. Yokogawa ([The University of Electro-Communications)
16:35-17:05
(9-1)
(Invited) Dielectric Reliability of Highly Scaled Through Silicon Via for Wafer Level 3D-SoC Applications
[IMEC (Belgium)]
Y. Li, S. V. Huylenbroeck, J. D. Vos, G. Jamieson, C. Wu, M. Stucchi, K. Croes, G. Beyer, and E. Beyne
17:05-17:25
(9-2)
Stacked Graphene Layers for Efficient Moisture Barrier in Cu Metallization
[
1 Shibaura Institute of Technology, 2 Kyushu University, 3 SIT Research Center for Green Innovation (Japan)] P. Gomasang1, K. Kawahara2, H. Ago2, and K. Ueno1, 3
17:25-17:45
(9-3)
Parameter estimation accuracy of TDDB lifetime distribution with clustering defects using the Bayesian approach
[The University of Electro-Communications (Japan)]
K. Kunii, S. Endo, and S. Yokogawa
17:45-18:00 Close Remarks
 
 

(C) ADMETA Plus 2018 all rights reserved.