Go to English page
 Information
 ADMETAPlus 2014について
 Important Dates
 交通と宿泊
 Topics
 論文投稿要項
 JJAP特集号
 招待講演者
 Tutorial
 Panel Discussion
 Conference Program
 Oral Presentation
 Poster Presentation
 参加登録
 Committee
 Contact
 Top Page
 
IWAPS Joint Conference

 Conference Program

October 23, 2014
Session 1: Opening Session
Chairperson: T. Nemoto (Tokyo Electron)
9:20-9:40 Opening Remarks: E. Kondoh, General Chair [Yamanashi Univ.]
Award Ceremony :
9:40-10:20
(1-1)
Keynotes: "Wiring, more wiring and more than wiring" - Current and future possibilities through technology development.[1CEA-LETI, 2CEA-DRT Japan Office] M. Scannell1, P. Leduc1, G. Simon1, S. Cheramy1, C. Reita1, and Y. Gallais2
10:20-11:00
(1-2)
Keynotes: Challenges for Thin Memory Packaging Technology [SK hynix] N. Kim, and G. Lee
11:00-11:20 (Break 20 min.)
Session 2: Metallization
Chairperson: S. Yokogawa (Polytechnic Univ.)
11:20-11:50
(2-1)
Invited: Metallization Challenges in 10nm and 7nm BEOL [IBM] T. Nogami
11:50-12:10
(2-2)
Filling and reliability performance of copper reflow process without liner layer [1Renesas Semiconductor Mfg., 2Renesas Electronics] A. Nakajima1, H. Tsuchiya2 , and Y. Yamamoto1
12:10-12:30
(2-3)
Reflow behavior of Cu-Mn in SiOC trench lines [Tohoku Univ.] T. Saito, D. Ando, Y. Sutou, and J. Koike
12:30-13:50 (Break for Lunch)
Session 3 : Metallization and Contact
Chairpersons: K. Maekawa (Renesas Semiconductor Mfg.) 
13:50-14:20
(3-1)
Invited: Characterization of vacancies and free volumes in Cu/low-k interconnects by means of positron annihilation spectroscopy [1Univ. of Tsukuba, 2AIST] A. Uedono1, N. Oshima2, and R. Suzuki2
14:20-14:40
(3-2)
HAST behavior of Cu-RDL in high voltage use [1Renesas Semiconductor Mfg, 2Renesas Electronics] K. Ichinose1, K. Maekawa1, K. Omori2, S. Muranaka2, M. Fujisawa2, T. Masuda2, and T. Ebara2
14:40-15:10
(3-3)
Invited: Stacked sputterig process for interface controlled junctions and gate formation [Tokyo Tech] K. Kakushima
15:10-15:30
(3-4)
Formation of Epitaxial NiGe Layer on Ge(001) Substrate and Influence of Interface Structure on Schottky Barrier Height [Nagoya Univ.] O. Nakatsuka, Y. Deng, M. Sakashita, and S. Zaima
15:30-15:50
(3-5)
Improvement of Contact Properties of Ytterbium Silicide by Mo Alloying [1Sungkyunkwan Univ., 2KAIST] S. Na1, J.-G. Kangg1, H. Kim1, S.-H. Lee2 , and H.-J. Lee1
15:50-16:10 (Break 20 min)
Session4 : Carbon Nanotube and Graphene
Chairpersons: S. Sato (Fujitsu Lab.), Y. Awano (Keio Univ.)
 
16:10-16:40
(4-1)
Invited: Ballistic transport in epitaxial graphene nanoribbons [Georgia Inst. of Tech.] W. de Heer
16:40-17:00
(4-2)
Estimation of requirements for sub-10-nm-wide graphene interconnect [1LEAP, 2Shibaura Inst. of Tech., 3Tokyo Polytechnic Univ] H. Miyazaki1, M. Katagiri1, M. Takahashi1, Y. Yamazaki1, D. Nishide1, T. Matsumoto1, M. Wada1, N. Sakuma1, K. Ueno2, R. Matsumoto3, A. Kajita1, and T. Sakai1
17:00-17:20
(4-3)
Monte Carlo Simulation of Graphene Nanoribbon Interconnects using Real Space Edge Roughness Model [1Keio Univ., 2LEAP] T. Misawa1, T. Okanaga1, A. Mohamad1, T. Sakai2, and Y. Awano1
1720-17:40
(4-4)
Intercalated multi-layer graphene interconnects with improved uniformity in thickness and resistance [1AIST, 2Fujitsu Lab.] D. Kondo1,2, H. Nakano1, B. Zhou1, A. I1, K. Hayashi1,2, M. Takahashi1, S. Sato1,2, and N. Yokoyama1,2
1740-18:00
(4-5)
CNT Via Integration with Highly Dense and Selective CNT Growth [LEAP] A. Isobayashi, M. Wada, B. Ito, T. Saito, D. Nishide, T. Ishikura, M. Katagiri, Y. Yamazaki, T. Matsumoto, M. Kitamura, M. Watanabe, N. Sakuma, A. Kajita, and T. Sakai
Poster Session (18:00-19:30)
Chairperson: O. Nakatsuka (Nagoya Univ.) 
P-1 Ni films deposited by CVD using Ni precursor consisted of π-electron bonded hydrocarbon ligands, (η3-cyclohexenyl)(η5-cyclopentadienyl) nickel. [Tanaka Kikinzoku Kogyo] K. Suzuki, S. Nabeya, R. Harada, T. Shigetomi, A. Kumakura, M. Saito, T. Sone, and T. Enomoto
P-2 Selective Cu fill into nanopores using supercritical carbon dioxide [Univ. of Yamanashi] E. Kondoh, Y. Tamegai, M. Watanabe, and L. Jin
P-3 Electrochemical study of the multi-component additives behavior during copper electrodeposition with a microfluidic device and an EQCM [Osaka Pref. Univ.] Y. Tsujimoto, Y. Miyamoto, N. Okamoto, T. Saito, and K. Kondo
P-4 Preparation of a novel catalyst structure for continuous growth of nanocarbon fibers [1Univ. of Yamanashi, 2Sumitomo Electric Industries] M. Watanabe1, K. Osada1, E. Kondoh1, S. Okubo2, T. Hikata2, and A. Nakayama2
P-5 Low Temperature Interdiffusion of Cu/Ni in Supercritical Fluid Carbon Dioxide using a New Cu(I) Amidinate Precursor [1Univ. of Yamanashi, 2Gas-Phase Growth] M. Rasadujjaman1, M. Watanabe1, H. Sudoh2, H. Machida2, and E. Kondoh1
P-6 Growth of Ge Homoepitaxial films by Metal-Organic Chemical Vapor Deposition using t-C4H9GeH3 [1Meiji Univ., 2Gas-phase Growth, 3Toyota Technological Inst.] K. Suda1, S. Ishihara1, N. Sawamoto1, H. Machida2, M. Ishikawa2, H. Sudoh2, Y. Ohshita3, and A. Ogura1
P-7 Agglomeration and diffusion in Cu thin films under supercritical CO2 annealing [Univ. of Yamanashi] Y. Nakamura, M. Watanabe, and E. Kondoh
P-8 New Cu(TiIrNx) Copper-Alloy Film for Copper-Interconnect Applications [Asia-Pacific Inst. of Creativity] C.H.Lin
P-9 Synthesis of Ni precursors and their process evaluations [1Air Liquide Laboratories, 2Air Liquide Laboratories Korea, 3Air Liquide Far Eastern] S. Gatineau1, M. Kimura1, C. Ko1, C. Lansalot2, C.-F. Hsiao3, J. Yokota1, and J. Gatineau1
P-10 Fabrication of High-Thermal-Conductivity Ni/Diamond Composites by Electrodeposition [Shinshu Univ.] M. Ueda, and S. Arai
P-11 Thermal stability of bi-layered ZrN/Zr3N4 barrier in Cu/Si contact system [Kitami Inst. of Tech.] M. Sato, M. B. Takeyama, and A. Noya
P-12 Atomic layer deposited Ru thin films using H using Husing H2 molecules as a reactant; Applications to the seed layer for Cu metallizationto and bottom electrode of MIM capacitor[1Yeungnam Univ., 2Deagu Gyeonbuk inst. of Sci. & Tech., 3Korea Basic Sci. Inst., 4TANAKA Kikinzoku Kogyo, 5SK Hynix] S.-J. Lee1, M. Lee1, T. Cheon1,2, S.-H. Kim1, T. E. Hong3, M. Saito4, K. Suzukii4, S. Nabeya4, J. Lee5, S. Kim5, and S. Yeom5
P-13 Low Schottky barrier height contacts with Sn electrode for various orientation n-Ge substrates [1Nagoya Univ., 2JSPS Research Fellow] A. Suzuki1, D. Yunsheng1, S. Shibayama1,2, M. Kurosawa1,2, M. Sakashita1, O. Nakatsuka1, and S. Zaima1
P-14 Electrical Contact Property and Interfacial Reaction of Cu-Al Alloy on n-InGaAs [Tohoku Univ.] E. Lee, D. Ando, Y. Sutou, and J. Koike
P-15 An analysis of statistical characteristics of lifetime distribution based on the defect clustering for MOL/BEOL TDDB [Polytechnic Univ.] S. Yokogawa
P-16 Direct Imaging and Nano-Probing of Graphene Sheets for Interconnects by Conductive Atomic Force Microscopy [LEAP] L. Zhang, M. Katagiri, T. Ishikura, M. Wada, H. Miyazaki, Y. Yamazaki, D. Nishide, T. Matsumoto, R. Ifuku, N. Sakuma, A. Kajita, and T. Sakai
P-17 Passivation of Bromine-Doped Multilayer Graphene for Interconnect Applications [1Shibaura Inst. of Tech., 2LEAP] Y. Matsumoto1, A. Aozasa1, R. Kosugi1, H. Miyazaki2, M. Wada2, N. Sakuma2, A. Kajita2, T. Sakai2, and K. Ueno1
P-18 Magnetoresistance of conductive filament in Ni/HfO2/Pt resistive switching memory [Kansai Univ.] S. Otsuka, Y. Hamada, D. Ito, T. Shimizu, and S. Shingubara
P-19 Substrate-damage-free interconnection method for large-area flexible electronics [KIMM] J. Y. Song, J. H. Lee, J.-Y. Lee, and J. Hum
P-20 Direct Depth Measurement System of High Aspect Ratio via Holeo for 3D Stacked Device [Fujitsu] M. Nakamura, H. Kitada, and S. Sakuyama
P-21 Parametric study of the warpage and the die-shift occurs at wafer level packaging by using compression molding [1Korea Inst. of Industrial Tech., 2Seoul National Univ. of Sci. & Tech.] S.-M. Yeon1, J. Park1, N.-K. Lee1, S.-H. Park1, J.-H. Kim2, and H.-J. Lee1
P-22 Development of a High Brightness Ion Beam Extraction System for 3D-IC Metrology [1Korea Electronics-Machinery Convergence Tech. Inst., 2Seoul National Univ. of Sci. and Tech.] M.-J. Park1, W.-G. Kang2, and D.-Y. Jang2
P-23 Properties of joint by using Cu powder coated Sn metal [1Korea Inst. of Industrial Tech., 2KAIST] S. W. Kim1, Y.-H. Ko1, 2, Y.-K. Ko1, and C.-W. Lee1
P-24 Uniform pressing apparatus for temporary bonder [KIMM] C. W. Lee, J. Y. Song, T. H. Ha, J. H. Lee, and S. M. Kim
P-25 Polymer synthesis and properties of temporary bonding & debonding adhesives for 3D multichip packaging process [1Seoul National Univ., 2KIMM] S.-W. Lee1, T.-H. Lee1, J.-W. Park1, C.-H. Park1, H.-J. Kim1, S.-M. Kim2, J.-H. Lee2, and J.-Y. Song2
P-26 Polymer testing of temporary bonding & debonding adhesives for 3D multichip packaging process [1Seoul National Univ., 2KIMM] S -W. Lee1, T-H. Lee1, J.-W. Park1, C.-H. Park1, H.-J. Kim1, S.-H. Lee2, S.-M. Kim2, J.-H. Lee2, and J. -Y. Song2
P-27 Design and characterizations of temporary bonding & debonding adhesives for 3D multichip packaging process [1Seoul National Univ., 2KIMM] S.-W. Lee1, T.-H. Lee1, J.-W. Park1, C.-H. Park1, H.-J. Kim1, S.-H. Lee2, S.-M. Kim2, J.-H. Lee2, and J.-Y. Song2
P-28 Effects of pore sealing of porous SiOCH on metal penetration during CVD MnOx formation [1Tohoku Univ., 2ASM] H. Wang1, D. Ishikawa1, A. Kobayashi2, Y. Sutou1, D. Ando1, and J. Koike1
 
October 24, 2014 
Session 5: Dielectric and Metallization
Chairperson: Yohei Yamada (San Disk)
 
9:00-9:30
(5-1)
Invited: A Plasma assisted in situ sidewall damage restoration process for ULK dielectrics [Fraunhofer ENAS / Technische Uvin. Chemnitz] S. E. Schulz, N. Ahner, T. Fischer, N. Kohler, and S. Zimmermann
9:30-9:50
(5-2)
Development of low-temperature deposition of high-quality PECVD-SiN films by organo silane [1TAIYO NIPPON SANSO, 2SPP Technologies] H. Taka1, M. Yamawak1, and S. Murakami2
9:50-10:10
(5-3)
Novel supercritical CO2 reactor for wafer-scale deposition [1Univ. of Yamanashi, 2Fujikura] E. Kondoh1, T. Ueno1, Y. Takeuchi1, M. Watanabe1, S. Yamamoto2, and T. Suemasu2
10:10-10:30
(5-4)
Atomic layer deposited Ru-Mn alloy film as a Cu direct plateable diffusion barrier [1Yeungnam Univ., 2Deagu Gyeonbuk inst. of Sci. & Tech., 3Korea Basic Sci. Inst.] H.-J. Lee1, S.-J. Lee1, S. Yeo1, Y.-J., T. Cheon1,2 , T. E. Hong3, and S.-H. Kim1
10:30-10:50
(5-5)
Investigation of Micro-Scratches Generation Focused on the Scratches Shapes in Chemical Mechanical Polishing Process [Toshiba] A. Gawase, H. Eda, T. Kawasaki, K. Iwade, and Y. Matsui
10:50-11:10 (Break 20 min)
Session 6: Backend Device
Chairperson: Munehiro Tada (LEAP) 
11:10-11:40
(6-1)
Invited: Recent Advances in CMOS Friendly RRAM and CBRM memory technologies [IMEC] L. Goux
11:40-12:00
(6-2)
Logic Compatible Process Technology for Embedded Atom Switches in CMOS [LEAP] K. Okamoto, M. Tada, N. Banno, N. Iguchi, T. Sakamoto, and H. Hada
12:00-12:20
(6-3)
Fabrication Process of Pillar-type GeTe/Sb2Te3 Super-lattice Topological Switching Random Access Memory (TRAM) [LEAP] M. Tai, M. Kinoshita, T. Ohyanagi, T. Morikawa, K. Akita, and N. Takaura
12:20-12:40
(6-4)
Comparative study of the ferroelectric properties employed with Al: ZnO and Sn: In2O3 electrode PbLaZrTiOx capacitors [1Osaka Pref. Univ. 2Osaka Univ.] Y. Takada1, T. Amano1, N. Okamoto1, T. Saito1, K. Kondo1, T. Yoshimura1, N.Fujimura1, K. Higuchi2, and A. Kitajima2
12:40-13:50 (Break for Lunch)
Session 7: 3D Device and Packaging 1
Chairperson: Chang Woo Lee (KITECH, Korea) 
13:50-14:30
(7-1)
Keynotes: 3D packaging solution based on fan-out package [nepes] Y. T. Kwon
14:30-15:00
(7-2)
Invited: Reliability of Cu Damascene and 3-D interconnects [1Seoul National Univ., 2Samsung Electronics] Y.-C. Joo1, H.-W. Yeon1, J.-Y. Song1, H.-A.-Seul Shin1, J.-Y. Bae2, and Y.-C. Hwang2
15:00-15:20
(7-3)
Effect of test structure on electromigration characteristics in 3D-TSV stacked devices [1Sony Semiconductor, 2imec] Y. Oba1, J. De Messemaeker2, A. M. Tyrovouzi2, Y. Miyamori1, J. De Vos2, T. Wang2, G. Beyer2, E. Beyne1, I. De Wolf2, and K. Croes2
15:20-15:40
(7-4)
A Novel Adhesive Material Development for the Bumpless WOW 3D DRAM Applications [1Tokyo Tech, 2Daicel, 3DISCO, 4Dai Nippon Printing] H. Tanaka1,2, K. Tsutsumi1,2, Y.S. Kim1,3, S. Kodama1,3, Y. Mizushima1, N. Maeda1, 3, K. Fujimoto1, 4, A. Kawai3, K. Arai3, and T. Ohba1
15:40-16:00 (Break 10 min)
Session 8: 3D Device and Packaging 2
Chairperson: Takayuki Ohba (Tokyo Tech)
 
16:00-16:30
(8-1)
Invited:Ultra Thinning of DRAM wafer for 3D WOW Application: Impact of Thinning on Retention Characteristic and Atomic Level Analysis of Backside Damage Layer [Tokyo Tech ] Y. S. Kim, S. Kodama, Y. Mizushima, N. Maeda, K. Fujimoto, T. Nakamura, A. Kawai, K. Arai, and T. Ohba
16:30-15:50
(8-2)
Direct observation of fluorocarbon and Al contamination on the TSV side-wall and benchmarking the cleaning methods of process residue [Fujitsu Semiconductor] H. Ohira, T. Owada, H. Ochimizu., and M. Miyajima
16:50-17:10
(8-3)
Reliability Studies of Copper Wire Bonds on a Novel Over Pad Metallization [1Renesas Semiconductor Package &Test Solutions, 2Renesas Semiconductor Mfg.] F. Kawashiro1, S. Itoh2, T. Maeda1, T. Hirose1, A. Yajima2, and T. Etoh1
17:10-17:30
(8-4)
Effects of Ti interlayer on intermetallic compound (IMC) formation and growth for joint reliability of 3D-TSV package [1KITECH, 2Tohoku Univ., 3KAIST] Y.-K. Ko1,2, Y.-H. Ko1,3, S.-W. Kim1, and C.-W. Lee1
17:30-17:50
(8-5)
Study on the Micro-Structure of ISB Bonding Specimens w.r.t. Bonding Parameters [KIMM] J. H. Lee, J. Y. Song, Y. K. Lee, S. M. Kim, T. H. Ha, and C. W. Lee
17:50-18:10
(8-6)
Laser assisted EZR debonding technology for 3D-TSV packaging process [1KIMM, 2Seoul National Univ.] S.-M. Kim1, J.-H. Lee1, S.-H. Lee1, J.-Y. Song1, C.-W. Lee1, T.-H. Ha1, H.-J. Kim2, and S.-W. Lee2
18:10-18:20 Closing Remarks:

 
 

(C) ADMETA2008 all rights reserved.