go to Japanese page
 Information
 About ADMETAPlus 2016
 Important Dates
 Travel
 Topics
 Call for Papers
 Special issu of JJAP
 Invited Speaker
 Tutorial
 Conference Program
 Oral Presentation
 Poster Presentation
 Registration
 Committee
 Contact
 Top Page
 
Advanced Metallization Conference 2016
26th Asian Session
 Conference Program (Draft)

October 20, 2016
Session 1: Opening Session
Chairperson: H. Machida (Gas-Phase Growth)
9:30-9:50 Opening Remarks: T. Nemoto, General Chair [Tokyo Electron]
Award Ceremony :
9:50-10:30
(1-1)
Keynotes: Technology Development of CMOS Image Sensors and Future Prospects [Sony Semiconductor Solutions] T. Umebayashi
10:30-11:10
(1-2)
Keynotes: The technology trend of IC manufacture during post Moor’s era [SMIC] H. Wu
11:10-11:25 (Break)
Session 2: Advanced metallization
Chairperson: T. Saito (Osaka Prefecture University)
 
11:25-11:55
(2-1)
Invited: Process Technology for 3D Integrated Circuits [ON Semiconductor] J. Gambino
11:55-12:15
(2-2)
Thermal stability and diffusion barrier property of a Co-Ti layer for advanced copper metallization [Tohoku University] ○M. Hosseini, Y. Sutou, and J. Koike
12:15-12:35
(2-3)
The investigation of an amorphous Co-Hf alloy as an ultrathin single-layer barrier in LSI devices [Tohoku University] H. Koide, D. Ando, Y. Sutou, and J. Koike
12:35-13:45 (Break for Lunch)
Session 3 : Metallization and Reliability
Chairpersons: K. Maekawa (Renesas Electronics) / X. Gu (Hikstor Technology)
 
13:45-14:15
(3-1)
Invited: An Assessment of Cu Interconnect Reliability for Automotive Applications [Renesas Electronics] N. Suzumura
14:15-14:35
(3-2)
Cu grain orientation control on thin TaWN ternary alloy barrier [Kitami Institute of Technology] M. B. Takeyama, and M. Sato
14:35-14:55
(3-3)
Barrier properties of TiHfN ternary alloy films against Cu diffusion in Cu/Si contact system [1Kitami Institute of Technology, 2 Tohoku University] M. Sato1, E. Aoyagi2, and M. B. Takeyama1
14:55-15:15
(3-4)
Selective Cu-deposition by LPCVD using CuI [ Ibaraki University] T. Nishikawa, and ○S. Yamauchi
15:15-15:35
(3-5)
A simple method of parameter estimating for time-dependent clustering model in MOL/BEOL TDDB lifetime [The University of Electro-Communications] S. Yokogawa
15:35-15:50 (Break 15 min)
Session4 : Thin Film Deposition
Chairpersons: F. Ito (Toshiba)
 
15:50-16:20
(4-1)
Invited: Surface passivation structures for GaN power transistors [Hokkaido University] T. Hashizume
16:20-16:40
(4-2)
Atomistic methods in dielectric materials deposition and removal processes [1Tokyo Electron America, 2 Tokyo Electron Miyagi, 3 Tokyo Electron, 4 Tokyo Electron Technology Center America, 5 Tokyo Electron Tohoku, 6 University of Texas at Austin] ○P. Ventzek1, J. Yoshikawa2, M. Matsukuma3, T. Kato3, T. Nakano3, H. Ueda3, A. Ranjan4, T. Iwao5, K. Ishibashi5, G. S. Hwang6, and G. Hartmann6
16:40-17:00
(4-3)
Removal of organic pore template of PMO low-dielectric constant (low-k) thin films using supercritical carbon dioxide fluids [1 University of Yamanashi, 2 IMEC] E. Kondoh 1, K. Segawa 1, M. Watanabe 1, L. Zhang 2, and M. R. Baklanov 2
17:00-17:20
(4-4)
On the Potential of Tungsten as Next-generation Semiconductor Interconnects [Korea Institute of Materials Science] ○D. Choi, B.-J. Kim, S. Jung, S. H. Lee, and D. Kim
Special Session : Late News
Chairpersons: S. Yokogawa
(The University of Electro-Communications)
 
 17:20-17:35
(LN-1)
Think again Stress migration phenomenon with Stress measurement in 12years and Physical Analysis [1 Socionext. 2Fujitsu Laboratory. 3Mie Fujitsu Semiconductor. 4Tsukuba University] ○H. Matuyama1, T. Suzuki2, T. Nakamura2, M. Shiozu3, H. Ehara3, M. Oshima1, T. Soeda2 ,H. Hosoi2, and K. Yamabe4
 17:35-17:50
(LN-2)
Quasi-3D Integration Using Time-Domain Interconnection (Q3D-TD) - Realization Example and Performance Evaluation in 45 nm Technology Node - [ Tohoku University] ○K. Kotani
 17:50-18:05
(LN-3)
Microwave Annealing for Low-Thermal Budget Process of Nickel Monogermanide/Germanium Contact Formation [1Nagoya University, 2 Stanford University, 3Tokyo Electron U.S. Holdings, 4Reserach Fellow of Japan Society for the Promotion of Science] ○O. Nakatsuka1,2, Y.Watanabe2,3, A. Suzuki1,4, Y. Nishi2, and S. Zaima1
 18:05-18:20
(LN-4)
 Co thin film fabrication using hot-wire assisted atomic layer deposition [The Universiy. of Tokyo] ○T. Naka, K. Shima, T. Momose, and Y. Shimogaki
Poster Session (18:30-20:00)
Chairpersons: S. Yokogawa
(The University of Electro-Communications)
 
P-1 Sulfide Semiconductor Materials prepared by High-speed Electrodeposition and Discussion of Electrochemical Reaction Mechanism [Osaka Prefecture University] ○N. Okamoto, K. Kataoka, and T. Saito
P-2 Cu Ultra low-k reliability improvement by optimized thin film process integration for advanced technology nodes [Semiconductor Manufacturing International (Shanghai)] ○T. Dou, X. Song, X. Zou, D. Bei, X. Gu, J. Liu, and Z. Tong
P-3 ULK Deposition and UV Cure Impact on ULK Dielectric for High Performance Cu/ULK Interconnect [Semiconductor Manufacturing International (Shanghai)] ○X. Gu, H. Deng, Z. Tong, and X. Jing
P-4 A Study of Advanced Low K Film Etch for All-in-one Etch Scheme of Metal Hard-mask [Semiconductor Manufacturing International] M. Hu, J.-Q. Zhou, C.-L. Zhang, Q.-Y. He, and H.-Y. Zhang
P-5 Effect of Alumina Filler Addition of Non-conductive Adhesive for 3D Chip Package [1 Korea Institute of Technology (KITECH) , 2 KUST, 3 Andong National University] ○D. -H. Jung 1,2 , D. -E. Lim 1,3, S. -J. Lee 1, Y. -H. Ko 1, and J.-K. Kim 1,2
P-6 Effect of Fine Silica Filler Addition on the NCP(non-conductive paste) for CoB Flip Chip Bonding [1 KITECH, 2 Andong National University, 3 KUST] ○D. -E. Lim 1,2, D. -H. Jung 1,3, T. -Y. Lee 1, S. -J. Lee1, Y. -B. Park2, and J.-k. Kim 1 
P-7 The surface treatment process suitable for ultra-fine circuit pattern formation [Okuno Chemical Industries] ○Y. Tsuno, T. Murata, and J. Kang
P-8 Pulsed laser deposited conductive oxide electrode on Al2O3 (0001) for (Pb,La)(Zr,Ti)O3 capacitor [1 Osaka Prefecture University, 2 Osaka University] ○Y. Takada 1, R. Tamano 1, N. Okamoto 1, T. Saito 1, T. Yoshimura 1, N. Fujimura 1, K. Higuchi2, and A. Kitajima 2
P-9 The Study of Aspect Ratio of Atmospheric Pressure Plasma Etching and Postprocessing of Crystalline Silicon [Yuan Ze University] ○C. Huang, W.-T. Liu, and Y.-C.A Liu
P-10 Preparation and characterization of oriented polyvinyl alcohol / carbon nanotube composite nanofibers [Chiba University] ○A. Shimizu, H. Kato, T. Sato, and M. Kushida
P-11 Evaluation of nitrogen-doped Ultrananocrystalline diamond / p -type silicon diodes [1 Kyushu University, 2 University Aswan] A. Zkria 1,2, H. Gima 1, T. Hanada 1, and T. Yoshitake 1
 Late News Poster
 P-LN1 Laser Dicing for Higher Chip Productivity [1 Hamamatsu Photonics, 2 WOW Alliance, 3 The Graduate School for the creation of new photonics industries] ○ N. Suzuki 1, 2, 3, X. Shiqin 2, K. Atsumi 1, N. Uchiyama 1, and T. Ohba 2
 P-LN2 Ultra-thin Co(W) barrier/liner layer grown by dual target plasma sputtering [1 The University. of Tokyo, 2 CANON ANELVA] ○T. Kim1, A. Matsuo2, T. Seino2, T. Momose1, and Y. Shimogaki1
 P-LN3 In-situ measurement method of the film thickness using transparency micro-patterned pad with low refractive index under the wet condition [Kyushu Institute of Technology] ○T. Oniki, P. Khajornrungruang, and K. Suzuki
October 21, 2016 
Session 5: CMP
Chairperson: K. Suzuki (Kyushu Institute of Technology)
 
9:00-9:30
(5-1)
Invited: Wafer Processing and Planarization [National Taiwan University of Science & Technology] C.-C. A. Chen
9:30-9:50
(5-2)
In-liquid and In-situ Observation Technologies in CMP Process for Evaluating the Process Integrity [Ebara] ○S. Shima, S. Hamada, C. Takatoh, Y. Wada, and A. Fukunaga
9:50-10:10
(5-3)
In-situ ellipsometry of Cu surfaces immersed in BTA-H2O2 solutions - Effect of pH [1 University of Yamanashi, 2 Ebara] E. Kondoh 1, T. Kawakami 1, M. Watanabe 1, L. Jin 1, S. Hamada 2, S. Shima 2, and H. Hiyama 2
10:10-10:30
(5-4)
Effects of Dissolved Oxidants on Copper Surface Condition During Wafer Rinsing Step Using Dilute Aqueous Ammonia Solution as Antistatic Water [1 Organo,2 Nagaoka University of Technology] ○D. Yano 1,2, Y. Hayashi 1, M. Kawakami 1, A. Kawai 2, and K. Yamanaka 1,2
10:30-10:50
(5-5)
Study on Colloidal Silica Abrasive Particles Behavior Model in Chemical Mechanical Polishing (CMP) of Sapphire [Kyushu Institute of Technology] ○N. B.-Athuek, P. Khajornrungruang, and K. Suzuki
10:50-11:10 (Break 20 min)
Session 6: DIR / 3D Device and Packaging
Chairperson: T. Owada (Dexerials)
 
11:10-11:40
(6-1)
Review Talk: Electrochemical Study of Metal Corrosion in CMP Process [Hitachi Chemical] ○ S. Kondo, Y. Ichige, and Y. Otsuka
11:40-12:10
(6-2)
Invited: Electrical reliability of metal interconnect on flexible polymer substrate during cyclic mechanical deformations [Korea Institute of Materials Science] B.-J. Kim
12:10-12:30
(6-3)
Development of a High-Yield Via-Last TSV Process Using Notchless Si Etching and Wet Cleaning of First Metal Layer [1AIST, 2 LAPIS Semiconductor] N. Watanabe 1, H. Kikuchi 2, A. Yanagisawa 2, H. Shimamoto 1, K. Kikuchi 1, M. Aoyagi 1, and A. Nakamura 2
12:30-13:30 (Break for Lunch)
Session 7: BEOL Integration and Emerging Technologies
Chairperson: M. Tada (NEC)
 
13:30-14:00
(7-1)
Invited: The challenge of copper BEOL technology in advance nodes [UMC] C. Chou
14:00-14:20
(7-2)
Intercalation Doping of Narrow Multilayer Graphene Interconnects [1 Toshiba,2 Tokyo Polytechnic University, 3 Tokyo Electron] ○M. Katagiri 1, H. Miyazaki 1, R. Matsumoto 2, T. Matsumoto 3, R. Ifuku 3, T. Sakai 1, and A. Kajita 1
14:20-14:40
(7-3)
A noble Schottky diode involving direct deposition of MLG on n-GaN by solid phase reaction [Shibaura Institute of Technology] ○Md. S. Uddin, and K. Ueno
14:40-15:00
(7-4)
High Performance HEMT properties fabricated on 200mm Si substrate by Novel Fast-Wafer-Rotating Single Wafer MOCVD [NuFlare Technology] ○K. Miyano, Y. Iyechika, H. Nago, M. Tsukui, T. Kobayashi, Y. Ishikawa, H. Takahashi, S. Mitani, and T. Yoda ,
15:00-15:20 (Break 20 min)
Session 8: Emerging Technologies and Processes
Chairperson: A. Kajita (Toshiba) / N. Takeguchi (SanDisk)
 
15:20-15:50
(8-1)
Invited: (A) Nanocarbon material syntheses, doping, and integration for future interconnect applications [Toshiba] T. Sakai
15:50-16:10
(8-2)
White Light Emission from Hybrid AC Powder Electroluminescent Devices [1 Nanyang Technological University, 2 A*STAR, ] S. Zhang 1,2, R. J. W. Teo 2, H. Su 1, C. S. Tan 1, and T. K. S. Wong 1
16:10-16:30
(8-3)
Effects of B doping on growth and mechanical properties of Ultrananocrystalline Diamond/Amorphous Carbon Composite Films on Cemented Carbide Substrate by Coaxial Arc Plasma Deposition [1 Kyushu University, 2 Yamaguchi University, 3 OSG] M. Egiza 1, H. Naragino 2, A. Tominaga 1, K. Murasawa 1, H. Gonda 3, M. Sakurai 3, and T. Yoshitake 1
16:30-16:50
(8-4)
Micro-nano Hybrid Copper-carbon Powder and Conductive Paste of it [1 Sekisui Chemical, 2 Kansai University] ○S. Ohnishi 1, A. Nakasuga 1, and K. Nakagawa2
16:50-17:00 Closing Remark:
 
 

(C) ADMETA Plus 2016 all rights reserved.